Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								0930c00f03 
								
							 
						 
						
							
							
								
								ice40: fix implicit signal in specify, also clamp negative times to 0  
							
							
							
						 
						
							2020-03-04 15:28:17 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								6eb528277e 
								
							 
						 
						
							
							
								
								Merge pull request  #1735  from YosysHQ/eddie/abc9_dsp48e1  
							
							... 
							
							
							
							xilinx: cleanup DSP48E1 handling for abc9 
							
						 
						
							2020-03-04 13:37:09 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								7b543fdb0c 
								
							 
						 
						
							
							
								
								xilinx: consider DSP48E1.ADREG  
							
							
							
						 
						
							2020-03-04 12:04:02 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								512596760b 
								
							 
						 
						
							
							
								
								xilinx: cleanup DSP48E1 handling for abc9  
							
							
							
						 
						
							2020-03-04 11:31:12 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								f65fc845e5 
								
							 
						 
						
							
							
								
								xilinx: improve specify for DSP48E1  
							
							
							
						 
						
							2020-03-04 11:31:12 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								78d4fff69d 
								
							 
						 
						
							
							
								
								xilinx: missing DSP48E1.PCIN timing from abc9_{map,model}.v  
							
							
							
						 
						
							2020-03-04 11:31:12 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									N. Engelhardt 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								0ec971444b 
								
							 
						 
						
							
							
								
								Merge pull request  #1691  from ZirconiumX/use-flowmap-in-noabc  
							
							... 
							
							
							
							Add -flowmap option to `synth{,_ice40}` 
							
						 
						
							2020-03-03 19:15:41 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								4f889b2f57 
								
							 
						 
						
							
							
								
								Merge pull request  #1724  from YosysHQ/eddie/abc9_specify  
							
							... 
							
							
							
							abc9: auto-generate *.lut/*.box files and arrival/required times from specify entries 
							
						 
						
							2020-03-02 12:32:27 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									R. Ou 
								
							 
						 
						
							
							
							
							
								
							
							
								7932672fc2 
								
							 
						 
						
							
							
								
								coolrunner2: Attempt to give wires/cells more meaningful names  
							
							
							
						 
						
							2020-03-02 01:40:57 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									R. Ou 
								
							 
						 
						
							
							
							
							
								
							
							
								b9c98e0100 
								
							 
						 
						
							
							
								
								coolrunner2: Fix invalid multiple fanouts of XOR/OR gates  
							
							... 
							
							
							
							In some cases where multiple output pins share identical combinatorial
logic, yosys would only generate one $sop cell and therefore one
MACROCELL_XOR cell to try to feed the multiple sinks. This is not valid,
so make the fixup pass duplicate cells when necessary. For example,
fixes the following code:
module top(input a, input b, input clk_, output reg o, output o2);
wire clk;
BUFG bufg0 (
    .I(clk_),
    .O(clk),
);
always @(posedge clk)
    o = a ^ b;
assign o2 = a ^ b;
endmodule 
							
						 
						
							2020-03-02 01:07:15 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									R. Ou 
								
							 
						 
						
							
							
							
							
								
							
							
								a618004897 
								
							 
						 
						
							
							
								
								coolrunner2: Fix packed register+input buffer insertion  
							
							... 
							
							
							
							The register will be packed with the input buffer if and only if the
input buffer doesn't have any other loads. 
							
						 
						
							2020-03-02 00:32:57 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									R. Ou 
								
							 
						 
						
							
							
							
							
								
							
							
								a6aeee4e1a 
								
							 
						 
						
							
							
								
								coolrunner2: Insert many more required feedthrough cells  
							
							
							
						 
						
							2020-03-01 16:56:21 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								d7987fec12 
								
							 
						 
						
							
							
								
								Add -flowmap to synth and synth_ice40  
							
							
							
						 
						
							2020-02-28 14:29:57 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								090e54569a 
								
							 
						 
						
							
							
								
								Remove RAMB{18,36}E1 from cells_xtra.py  
							
							
							
						 
						
							2020-02-27 10:33:04 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								376319dc8d 
								
							 
						 
						
							
							
								
								xilinx: Update RAMB* specify entries  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								6bd9550100 
								
							 
						 
						
							
							
								
								ice40: add delays to SB_CARRY  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								3b74e0fa45 
								
							 
						 
						
							
							
								
								xilinx: add delays to INV  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								aa969f8778 
								
							 
						 
						
							
							
								
								More +/ice40/cells_sim.v fixes  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								b0ffd9cd8b 
								
							 
						 
						
							
							
								
								Make +/xilinx/cells_sim.v legal  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								1ef1ca812b 
								
							 
						 
						
							
							
								
								Get rid of (* abc9_{arrival,required} *) entirely  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								3ea5506f81 
								
							 
						 
						
							
							
								
								abc9_ops: use TimingInfo for -prep_{lut,box} too  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								7d86aceee3 
								
							 
						 
						
							
							
								
								Expand +/xilinx/cells_sim.v to keep ICARUS and non -specify paresr happy  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								3728ef1765 
								
							 
						 
						
							
							
								
								ice40: fix specify for inverted clocks  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								aac309626b 
								
							 
						 
						
							
							
								
								Fix tests by gating some specify constructs from iverilog  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								e22fee6cdd 
								
							 
						 
						
							
							
								
								abc9_ops: ignore (* abc9_flop *) if not '-dff'  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								a76520112d 
								
							 
						 
						
							
							
								
								ice40: specify fixes  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								fb60d82971 
								
							 
						 
						
							
							
								
								ice40: move over to specify blocks for -abc9  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								a85c55113f 
								
							 
						 
						
							
							
								
								synth_ecp5: use +/abc9_model.v  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								8408c13405 
								
							 
						 
						
							
							
								
								Update xilinx for ABC9  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								ac24a23e31 
								
							 
						 
						
							
							
								
								Create +/abc9_model.v for $__ABC9_{DELAY,FF_}  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								d2284715fa 
								
							 
						 
						
							
							
								
								ecp5: remove small LUT entries  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								ccc84f8923 
								
							 
						 
						
							
							
								
								Fix commented out specify statement  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								12d70ca8fb 
								
							 
						 
						
							
							
								
								xilinx: improve specify functionality  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								46a89d7264 
								
							 
						 
						
							
							
								
								ecp5: deprecate abc9_{arrival,required} and *.{lut,box}  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								577545488a 
								
							 
						 
						
							
							
								
								xilinx: use specify blocks in place of abc9_{arrival,required}  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								0e7c55e2a7 
								
							 
						 
						
							
							
								
								Auto-generate .box/.lut files from specify blocks  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								74f49b1f55 
								
							 
						 
						
							
							
								
								abc9_ops: -prep_box, to be called once  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								5643c1b8c5 
								
							 
						 
						
							
							
								
								abc9_ops: -prep_lut and -write_lut to auto-generate LUT library  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Claire Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								ab8826ae36 
								
							 
						 
						
							
							
								
								Merge pull request  #1709  from rqou/coolrunner2_counter  
							
							... 
							
							
							
							Improve CoolRunner-II optimization by using extract_counter pass 
							
						 
						
							2020-02-27 19:05:56 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Claire Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								47228feb77 
								
							 
						 
						
							
							
								
								Merge pull request  #1708  from rqou/coolrunner2-buf-fix  
							
							... 
							
							
							
							coolrunner2: Separate and improve buffer cell insertion pass 
							
						 
						
							2020-02-27 19:03:59 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Piotr Binkowski 
								
							 
						 
						
							
							
							
							
								
							
							
								62ab100c61 
								
							 
						 
						
							
							
								
								xilinx: mark IOBUFDSE3 IOB pin as external  
							
							
							
						 
						
							2020-02-27 13:15:57 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcus Comstedt 
								
							 
						 
						
							
							
							
							
								
							
							
								48a9b4f616 
								
							 
						 
						
							
							
								
								ecp5: Add missing parameter to \$__ECP5_PDPW16KD  
							
							
							
						 
						
							2020-02-22 15:51:25 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									R. Ou 
								
							 
						 
						
							
							
							
							
								
							
							
								13d0ff4a5f 
								
							 
						 
						
							
							
								
								coolrunner2: Use extract_counter to optimize counters  
							
							... 
							
							
							
							This tends to make much more efficient pterm usage compared to just
throwing the problem at ABC 
							
						 
						
							2020-02-17 03:09:40 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									R. Ou 
								
							 
						 
						
							
							
							
							
								
							
							
								6a0682f5a0 
								
							 
						 
						
							
							
								
								coolrunner2: Separate and improve buffer cell insertion pass  
							
							... 
							
							
							
							The new pass will contain all of the logic for inserting "passthrough"
product term and XOR cells as appropriate for the architecture. For
example, this commit fixes connecting an input pin directly to another
output pin with no logic in between. 
							
						 
						
							2020-02-16 20:25:46 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanovic 
								
							 
						 
						
							
							
							
							
								
							
							
								cd5c177739 
								
							 
						 
						
							
							
								
								Remove executable flag from files  
							
							
							
						 
						
							2020-02-15 10:36:44 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								00d41905df 
								
							 
						 
						
							
							
								
								abc9: deprecate abc9_ff.init wire for (* abc9_init *) attr  
							
							
							
						 
						
							2020-02-13 12:33:58 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								c244b27b6d 
								
							 
						 
						
							
							
								
								abc9: cleanup  
							
							
							
						 
						
							2020-02-10 10:17:23 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								2e8d6ec0b0 
								
							 
						 
						
							
							
								
								Remove unnecessary comma  
							
							
							
						 
						
							2020-02-07 12:45:07 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								affae35847 
								
							 
						 
						
							
							
								
								techmap: fix shiftx2mux decomposition  
							
							
							
						 
						
							2020-02-07 11:02:48 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcin Kościelnicki 
								
							 
						 
						
							
							
							
							
								
							
							
								89adef352f 
								
							 
						 
						
							
							
								
								xilinx: Add support for LUT RAM on LUT4-based devices.  
							
							... 
							
							
							
							There are multiple other kinds of RAMs supported on these devices, but
RAM16X1D is the only dual-port one.
Fixes  #1549  
							
						 
						
							2020-02-07 09:03:22 +01:00