Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								bddd641290 
								
							 
						 
						
							
							
								
								Fix SB_MAC sim model -- do not sign extend internal products?  
							
							
							
						 
						
							2019-07-18 21:03:54 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								601fac97e4 
								
							 
						 
						
							
							
								
								Add params  
							
							
							
						 
						
							2019-07-18 21:02:49 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								a777be3091 
								
							 
						 
						
							
							
								
								Merge remote-tracking branch 'origin/master' into ice40dsp  
							
							
							
						 
						
							2019-07-18 20:37:39 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								0157043b97 
								
							 
						 
						
							
							
								
								Merge remote-tracking branch 'origin/master' into xc7dsp  
							
							
							
						 
						
							2019-07-18 20:36:48 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								15c2a79ab9 
								
							 
						 
						
							
							
								
								Do not define `DSP_SIGNEDONLY macro if no exists  
							
							
							
						 
						
							2019-07-18 16:04:58 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								42e40dbd0a 
								
							 
						 
						
							
							
								
								Merge remote-tracking branch 'origin/master' into ice40dsp  
							
							
							
						 
						
							2019-07-18 15:45:25 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								266c1ae122 
								
							 
						 
						
							
							
								
								synth_ice40 to decompose into 16x16  
							
							
							
						 
						
							2019-07-18 15:38:09 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								2339b7fc37 
								
							 
						 
						
							
							
								
								mul2dsp to create cells that can be interchanged with $mul  
							
							
							
						 
						
							2019-07-18 15:37:35 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								e22a752242 
								
							 
						 
						
							
							
								
								Make consistent  
							
							
							
						 
						
							2019-07-18 15:21:23 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								43616e1414 
								
							 
						 
						
							
							
								
								Update Makefile too  
							
							
							
						 
						
							2019-07-18 14:51:55 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								b97fe6e866 
								
							 
						 
						
							
							
								
								Work in progress for renaming labels/options in synth_xilinx  
							
							
							
						 
						
							2019-07-18 14:20:43 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								8326af5418 
								
							 
						 
						
							
							
								
								Fix signed multiplier decomposition  
							
							
							
						 
						
							2019-07-18 13:11:26 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								5562cb08a4 
								
							 
						 
						
							
							
								
								Use single DSP_SIGNEDONLY macro  
							
							
							
						 
						
							2019-07-18 13:09:55 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								9cb0456b6f 
								
							 
						 
						
							
							
								
								Merge pull request  #1208  from ZirconiumX/intel_cleanups  
							
							... 
							
							
							
							Assorted synth_intel cleanups from @bwidawsk 
							
						 
						
							2019-07-18 19:04:28 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								0c999ac2c4 
								
							 
						 
						
							
							
								
								synth_intel: Use stringf  
							
							
							
						 
						
							2019-07-18 19:02:23 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								2024357f32 
								
							 
						 
						
							
							
								
								Working for unsigned  
							
							
							
						 
						
							2019-07-18 10:53:18 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								50f5e29724 
								
							 
						 
						
							
							
								
								synth_intel: s/not family/no family/  
							
							
							
						 
						
							2019-07-18 17:28:21 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								d5cd2c80be 
								
							 
						 
						
							
							
								
								Cleanup  
							
							
							
						 
						
							2019-07-18 09:20:48 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ben Widawsky 
								
							 
						 
						
							
							
							
							
								
							
							
								999811572a 
								
							 
						 
						
							
							
								
								intel_synth: Fix help message  
							
							... 
							
							
							
							cyclonev has been a "supported" family since the initial commit. The old
commit message suggested to use a10gx which is incorrect.
Aside from the obvious lack of functional change due to this just being
a help message, users who were previously using "a10gx" for "cyclonev" will
also have no functional change by using "cyclonev" instead.
Signed-off-by: Ben Widawsky <ben@bwidawsk.net> 
							
						 
						
							2019-07-18 17:06:25 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ben Widawsky 
								
							 
						 
						
							
							
							
							
								
							
							
								f950a7a75d 
								
							 
						 
						
							
							
								
								intel_synth: Small code cleanup to remove if ladder  
							
							... 
							
							
							
							Signed-off-by: Ben Widawsky <ben@bwidawsk.net> 
							
						 
						
							2019-07-18 17:06:12 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ben Widawsky 
								
							 
						 
						
							
							
							
							
								
							
							
								809b94a67b 
								
							 
						 
						
							
							
								
								intel_synth: Make family explicit and match  
							
							... 
							
							
							
							The help and code default to MAX10 for the family, however the couple of
if ladders defaulted to cycloneive. Fix this inconsistency and the next
patch will clean it up.
Signed-off-by: Ben Widawsky <ben@bwidawsk.net> 
							
						 
						
							2019-07-18 17:06:03 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ben Widawsky 
								
							 
						 
						
							
							
							
							
								
							
							
								060e77c09b 
								
							 
						 
						
							
							
								
								intel_synth: Minor code cleanups  
							
							... 
							
							
							
							Signed-off-by: Ben Widawsky <ben@bwidawsk.net> 
							
						 
						
							2019-07-18 17:05:54 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								c78ab8ebc5 
								
							 
						 
						
							
							
								
								synth_intel: rename for consistency with  #1184  
							
							... 
							
							
							
							Also fix a typo in the help message. 
							
						 
						
							2019-07-18 16:46:21 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								20b7120d66 
								
							 
						 
						
							
							
								
								Merge branch 'xc7dsp' of github.com:YosysHQ/yosys into xc7dsp  
							
							
							
						 
						
							2019-07-18 08:11:33 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								e66e8fb59d 
								
							 
						 
						
							
							
								
								Merge pull request  #1184  from whitequark/synth-better-labels  
							
							... 
							
							
							
							synth_{ice40,ecp5}: more sensible pass label naming 
							
						 
						
							2019-07-18 15:34:28 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								16b0ccf04c 
								
							 
						 
						
							
							
								
								mul2dsp: Lower partial products always have unsigned inputs  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-07-18 11:33:37 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								e3f8e59f18 
								
							 
						 
						
							
							
								
								Make all operands signed  
							
							
							
						 
						
							2019-07-17 14:25:40 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								58e63feae1 
								
							 
						 
						
							
							
								
								Update comment  
							
							
							
						 
						
							2019-07-17 13:26:17 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								8dca8d486e 
								
							 
						 
						
							
							
								
								Fix mul2dsp signedness  
							
							
							
						 
						
							2019-07-17 12:44:52 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								1b62b82e05 
								
							 
						 
						
							
							
								
								A_SIGNED == B_SIGNED so flip both  
							
							
							
						 
						
							2019-07-17 11:34:18 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								82153059a1 
								
							 
						 
						
							
							
								
								Merge pull request  #1204  from smunaut/fix_1187  
							
							... 
							
							
							
							ice40: Adapt the relut process passes to the new $lut/SB_LUT4 port map 
							
						 
						
							2019-07-17 07:55:26 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								0b6d47f8bf 
								
							 
						 
						
							
							
								
								Add DSP_{A,B}_SIGNEDONLY macro  
							
							
							
						 
						
							2019-07-16 15:55:13 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								c501aa5ee8 
								
							 
						 
						
							
							
								
								Signedness  
							
							
							
						 
						
							2019-07-16 15:54:27 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Sylvain Munaut 
								
							 
						 
						
							
							
							
							
								
							
							
								f28e38de99 
								
							 
						 
						
							
							
								
								ice40: Adapt the relut process passes to the new $lut <=> SB_LUT4 port map  
							
							... 
							
							
							
							The new mapping introduced in 437fec0d88Fixes  #1187 
(Diagnosis of the issue by @daveshah1 on IRC)
Signed-off-by: Sylvain Munaut <tnt@246tNt.com> 
							
						 
						
							2019-07-16 23:57:15 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								6390c535ba 
								
							 
						 
						
							
							
								
								Revert drop down to 24x16 multipliers for all  
							
							
							
						 
						
							2019-07-16 14:30:25 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								569cd66764 
								
							 
						 
						
							
							
								
								Merge branch 'xc7dsp' of github.com:YosysHQ/yosys into xc7dsp  
							
							
							
						 
						
							2019-07-16 14:18:36 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								5d1ce04381 
								
							 
						 
						
							
							
								
								Add support for {A,B,P}REG in DSP48E1  
							
							
							
						 
						
							2019-07-16 14:05:50 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								698ab9beee 
								
							 
						 
						
							
							
								
								synth_ecp5: rename dram to lutram everywhere.  
							
							
							
						 
						
							2019-07-16 20:45:12 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								ba099bfe9b 
								
							 
						 
						
							
							
								
								synth_{ice40,ecp5}: more sensible pass label naming.  
							
							
							
						 
						
							2019-07-16 20:41:51 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								7a58ee78dc 
								
							 
						 
						
							
							
								
								gen_lut to return correctly sized LUT mask  
							
							
							
						 
						
							2019-07-16 12:45:29 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								d38df68d26 
								
							 
						 
						
							
							
								
								xilinx: Add correct signed behaviour to DSP48E1 model  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-07-16 17:53:08 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								ba8ccbdea8 
								
							 
						 
						
							
							
								
								Merge pull request  #1186  from YosysHQ/eddie/abc9_ice40_fix  
							
							... 
							
							
							
							abc9/ice40: encapsulate SB_CARRY+SB_LUT4 into one box 
							
						 
						
							2019-07-16 08:52:14 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								95c8d27b0b 
								
							 
						 
						
							
							
								
								xilinx: Treat DSP48E1 as 24x17 unsigned for now (actual behaviour is 25x18 signed)  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-07-16 16:47:53 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								8da4c1ad82 
								
							 
						 
						
							
							
								
								mul2dsp: Fix edge case where Y_WIDTH is less than B_WIDTH+`DSP_A_MAXWIDTH  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-07-16 16:44:40 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								7a75f5f3ac 
								
							 
						 
						
							
							
								
								mul2dsp: Fix indentation  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-07-16 16:19:32 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								fd5b3593d8 
								
							 
						 
						
							
							
								
								Do not swap if equals  
							
							
							
						 
						
							2019-07-15 16:52:37 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								5f00d335d4 
								
							 
						 
						
							
							
								
								Oops forgot these files  
							
							
							
						 
						
							2019-07-15 15:03:15 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								42f8e68e76 
								
							 
						 
						
							
							
								
								OUT port to Y in generic DSP  
							
							
							
						 
						
							2019-07-15 14:45:47 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								0c7ee6d0fa 
								
							 
						 
						
							
							
								
								Move DSP mapping back out to dsp_map.v  
							
							
							
						 
						
							2019-07-15 14:18:44 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								5fb27c071b 
								
							 
						 
						
							
							
								
								$__ICE40_CARRY_LUT4 -> $__ICE40_FULL_ADDER as per @whitequark  
							
							
							
						 
						
							2019-07-15 12:03:51 -07:00