3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-06-27 00:18:46 +00:00
Commit graph

8510 commits

Author SHA1 Message Date
Eddie Hung
05282afc25 Add support for CEB, remove check on nusers 2019-09-05 10:46:33 -07:00
Pepijn de Vos
5168b6ffa4 WIP aditional DFF primitives 2019-09-05 19:12:47 +02:00
Eddie Hung
0166e02e78 Cleanup 2019-09-05 10:07:56 -07:00
Eddie Hung
aa462da395 Support CEA 2019-09-05 10:07:26 -07:00
Clifford Wolf
58ec1df4c2 Bump version
Signed-off-by: Clifford Wolf <clifford@clifford.at>
2019-09-05 19:05:13 +02:00
Clifford Wolf
4b7202c9c2
Merge pull request #1350 from YosysHQ/clifford/fixsby59
Properly construct $live and $fair cells from "if (...) assume/assert (s_eventually ...)"
2019-09-05 18:14:28 +02:00
Clifford Wolf
82784c279d
Merge pull request #1330 from YosysHQ/clifford/fix1145
Add flatten handling of pre-existing wires as created by interfaces
2019-09-05 18:10:40 +02:00
Eddie Hung
ef0681ea4c simple/peepopt.v tests to various/peepopt.ys with equiv_opt & select 2019-09-05 08:43:22 -07:00
Pepijn de Vos
47374a495d support bram initialisation 2019-09-05 17:25:51 +02:00
Eddie Hung
11f623cbe0 Revert "abc9 followed by clean otherwise netlist could be invalid for sim"
This reverts commit 6fe1ca633d.
2019-09-05 08:25:09 -07:00
Clifford Wolf
71d355560e Update README.md
Signed-off-by: Clifford Wolf <clifford@clifford.at>
2019-09-05 17:20:29 +02:00
Pepijn de Vos
7a43be5e43 use singleton ground and vcc nets, apparently this makes pnr happier 2019-09-05 16:38:47 +02:00
Clifford Wolf
30f1ac7ce9 Rename conflicting wires on flatten/techmap, add "hierconn" attribute, fixes #1220
Signed-off-by: Clifford Wolf <clifford@clifford.at>
2019-09-05 13:51:53 +02:00
Pepijn de Vos
3eff2271d0 add MUX support 2019-09-05 13:36:41 +02:00
Clifford Wolf
694a8f75cf Add flatten handling of pre-existing wires as created by interfaces, fixes #1145
Signed-off-by: Clifford Wolf <clifford@clifford.at>
2019-09-05 13:30:58 +02:00
Eddie Hung
09c26c55bb Get rid of sigBset too 2019-09-04 17:22:02 -07:00
whitequark
8d91960663
Merge pull request #1356 from emilazy/fix-makefile-shell
Use $(shell :; ...) in Makefile to force shell
2019-09-05 00:20:47 +00:00
Eddie Hung
91ef4457b0 Get rid of sigAset 2019-09-04 17:18:49 -07:00
Eddie Hung
42548d9790 Get rid of sigPused 2019-09-04 17:06:17 -07:00
Eddie Hung
93d798272d Compute sigP properly 2019-09-04 16:59:57 -07:00
Emily
a9af28694c Use $(shell :; ...) in Makefile to force shell
Did you think that `$(shell command -v ...)` would actually get run by
the shell? Foolish mortal; GNU Make is obviously far more wise than
thee, as it optimizes it to a direct -- and hence broken (since
`command` is a shell builtin) -- exec. This horrifying contortion
ensures that an actual shell runs the command and fixes the behaviour.

@Shizmob found the source of this misbehaviour; turns out gmake has a
hard-coded, incomplete list of shell builtins:

    715c787dc6/src/job.c (L2691)

This contains `command`, but the whole function is full of horrible
heuristic garbage so who knows. I'm so sorry.
2019-09-05 00:43:30 +01:00
Eddie Hung
aa1491add3 Resolve TODO with pin assignments for SRL* 2019-09-04 15:47:36 -07:00
Eddie Hung
ba629e6a28 Merge remote-tracking branch 'origin/master' into xaig_arrival 2019-09-04 15:36:07 -07:00
Eddie Hung
d3eea82bc2 Revert "parse_xaiger() to do "clean -purge""
This reverts commit 5d16bf8316.
2019-09-04 15:21:39 -07:00
Eddie Hung
6fe1ca633d abc9 followed by clean otherwise netlist could be invalid for sim 2019-09-04 15:20:04 -07:00
Eddie Hung
433b0c677c Remove log_cell() calls 2019-09-04 13:42:44 -07:00
Eddie Hung
229e54568e Merge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp 2019-09-04 12:37:48 -07:00
Eddie Hung
3732d421c5 Merge remote-tracking branch 'origin/master' into xc7dsp 2019-09-04 12:37:42 -07:00
Eddie Hung
2b86055848 Add peepopt_dffmuxext 2019-09-04 12:35:15 -07:00
Eddie Hung
0cee66e759 Add peepopt_dffmuxext tests 2019-09-04 12:34:44 -07:00
whitequark
8c1a982494
Merge pull request #1354 from emilazy/remove-which-use
Replace `which` with `command -v` in Makefile too
2019-09-04 18:55:17 +00:00
Emily
a7ea6a6fcf Replace which with command -v in Makefile too 2019-09-04 19:01:00 +01:00
Eddie Hung
3c462e5eeb
Merge pull request #1338 from YosysHQ/eddie/deferred_top
hierarchy -auto-top to work with (* top *) modules from read/read_verilog -defer
2019-09-04 10:55:41 -07:00
Eddie Hung
e67e4a5ed6 Support CEM 2019-09-04 10:52:51 -07:00
Pepijn de Vos
ae93c034ad set undriven pads to zero 2019-09-04 16:29:40 +02:00
Pepijn de Vos
d65a47c86d fix tcl script 2019-09-04 15:35:33 +02:00
Pepijn de Vos
06062090da add broken TCL run script 2019-09-04 14:47:59 +02:00
SergeyDegtyar
93f305b1c5 Remove stat command form shifter.ys test 2019-09-04 14:57:45 +03:00
Pepijn de Vos
a6d81a8d14 Merge remote-tracking branch 'diego/gowin' 2019-09-04 11:20:05 +02:00
SergeyDegtyar
a203c8569c Fix ecp5 tests
- remove *_synth.v files and generation in scripts;
- change synth_ice40 to synth_ecp5;
2019-09-04 12:15:52 +03:00
Pepijn de Vos
0723672451 Add demonstration of breakage
Unused outputs lead to undriven buffers, which lead to syntax errors.
2019-09-04 11:01:28 +02:00
Pepijn de Vos
6cf5157fe7 Update example for GW1NR-9
This uses the Trenz TEC0117 on Gowin IDE 1.8.4
2019-09-04 10:52:28 +02:00
Pepijn de Vos
b51c972296 Merge branch 'master' of https://github.com/YosysHQ/yosys 2019-09-04 10:37:27 +02:00
Pepijn de Vos
ec56438cf2 gowin: add splitnets to appease the PnR 2019-09-04 10:33:47 +02:00
Eddie Hung
80aec0f006 st.ffP from if to assert 2019-09-03 16:37:59 -07:00
Eddie Hung
16316aa05d Rename muxAB to postAddMux 2019-09-03 16:24:59 -07:00
Eddie Hung
cd002ad3fb Use choices for addAB, now called postAdd 2019-09-03 16:10:16 -07:00
Eddie Hung
2d80866daf Add support for load value into DSP48E1.P 2019-09-03 15:53:10 -07:00
Eddie Hung
682153de4b Process post-adder first since C could be used for load-P 2019-09-03 14:57:59 -07:00
Eddie Hung
97d11708e0 Use feedback path for MACC 2019-09-03 14:37:32 -07:00