Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								9f44a71715 
								
							 
						 
						
							
							
								
								Consistent with xilinx  
							
							
							
						 
						
							2019-06-03 09:23:43 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								eb08e71bd1 
								
							 
						 
						
							
							
								
								Merge branch 'xaig' into xc7mux  
							
							
							
						 
						
							2019-05-31 13:03:03 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								fb09c6219b 
								
							 
						 
						
							
							
								
								Merge remote-tracking branch 'origin/master' into xc7mux  
							
							
							
						 
						
							2019-05-21 14:21:00 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Sylvain Munaut 
								
							 
						 
						
							
							
							
							
								
							
							
								4f9183d107 
								
							 
						 
						
							
							
								
								ice40/cells_sim.v: Add support for TRIM input to SB_HFOSC  
							
							... 
							
							
							
							Signed-off-by: Sylvain Munaut <tnt@246tNt.com> 
							
						 
						
							2019-05-13 12:51:06 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								91c3afcab7 
								
							 
						 
						
							
							
								
								Use nonblocking  
							
							
							
						 
						
							2019-04-23 13:42:06 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								4883391b63 
								
							 
						 
						
							
							
								
								Merge remote-tracking branch 'origin/master' into xaig  
							
							
							
						 
						
							2019-04-22 11:19:52 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								d7f0700bae 
								
							 
						 
						
							
							
								
								Convert to use  #945  
							
							
							
						 
						
							2019-04-21 15:19:02 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Luke Wren 
								
							 
						 
						
							
							
							
							
								
							
							
								71da836300 
								
							 
						 
						
							
							
								
								ice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware experiments  
							
							
							
						 
						
							2019-04-21 21:40:11 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								af4652522f 
								
							 
						 
						
							
							
								
								ABC_FLOPS -> ABC_MODEL -- only whitebox if ABC_MODEL set  
							
							
							
						 
						
							2019-04-19 21:09:55 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								19b660ff6e 
								
							 
						 
						
							
							
								
								Fix SB_DFF comb model  
							
							
							
						 
						
							2019-04-18 23:07:16 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								0919f36b88 
								
							 
						 
						
							
							
								
								Missing close bracket  
							
							
							
						 
						
							2019-04-18 17:50:11 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								cf66416110 
								
							 
						 
						
							
							
								
								Annotate SB_DFF* with abc_flop and abc_box_id  
							
							
							
						 
						
							2019-04-18 17:46:53 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								4c327cf316 
								
							 
						 
						
							
							
								
								Use new -wb flag for ABC flow  
							
							
							
						 
						
							2019-04-18 10:32:41 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								671cca59a9 
								
							 
						 
						
							
							
								
								Missing abc_flop_q attribute on SPRAM  
							
							
							
						 
						
							2019-04-17 14:44:08 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								58847df1b9 
								
							 
						 
						
							
							
								
								Mark seq output ports with "abc_flop_q" attr  
							
							
							
						 
						
							2019-04-17 12:27:45 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								c1ebe51a75 
								
							 
						 
						
							
							
								
								Revert "Try using an ICE40_CARRY_LUT primitive to avoid ABC issues"  
							
							... 
							
							
							
							This reverts commit a7632ab332 
							
						 
						
							2019-04-17 11:10:20 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								a7632ab332 
								
							 
						 
						
							
							
								
								Try using an ICE40_CARRY_LUT primitive to avoid ABC issues  
							
							
							
						 
						
							2019-04-17 11:10:04 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								7980118d74 
								
							 
						 
						
							
							
								
								Add ice40 box files  
							
							
							
						 
						
							2019-04-16 16:39:30 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								9284cf92b8 
								
							 
						 
						
							
							
								
								Remove ice40/cells_sim.v hack to avoid warning for blocking memory writes  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-03-12 20:14:18 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Sylvain Munaut 
								
							 
						 
						
							
							
							
							
								
							
							
								e71055cfe8 
								
							 
						 
						
							
							
								
								ice40: Add ice40_braminit pass to allow initialization of BRAM from file  
							
							... 
							
							
							
							This adds a INIT_FILE attribute to the SB_RAM40_4K blocks that will
initialize content from a hex file. Same behavior is imlemented in the
simulation model and in a new pass for actual synthesis
Signed-off-by: Sylvain Munaut <tnt@246tNt.com> 
							
						 
						
							2019-03-08 00:15:46 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								7bf4e4a185 
								
							 
						 
						
							
							
								
								Improve iCE40 SB_MAC16 model  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-02-20 12:55:20 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								62493c91b2 
								
							 
						 
						
							
							
								
								Add first draft of functional SB_MAC16 model  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-02-19 14:47:27 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								2a681909df 
								
							 
						 
						
							
							
								
								Merge pull request  #724  from whitequark/equiv_opt  
							
							... 
							
							
							
							equiv_opt: new command, for verifying optimization passes 
							
						 
						
							2018-12-16 15:54:26 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								7ff5a9db2d 
								
							 
						 
						
							
							
								
								equiv_opt: pass -D EQUIV when techmapping.  
							
							... 
							
							
							
							This allows avoiding techmap crashes e.g. because of large memories
in white-box cell models. 
							
						 
						
							2018-12-07 17:20:34 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Olof Kindgren 
								
							 
						 
						
							
							
							
							
								
							
							
								889297c62a 
								
							 
						 
						
							
							
								
								Only use non-blocking assignments of SB_RAM40_4K for yosys  
							
							... 
							
							
							
							In an initial statement, blocking assignments are normally used
and e.g. verilator throws a warning if non-blocking ones are used.
Yosys cannot however properly resolve the interdependencies if
blocking assignments are used in the initialization of SB_RAM_40_4K
and thus this has been used.
This patch will change to use non-blocking assignments only for yosys 
							
						 
						
							2018-12-06 21:45:59 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								51f1bbeeb0 
								
							 
						 
						
							
							
								
								Add iCE40 SB_SPRAM256KA simulation model  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-09-10 11:57:24 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								cd65eeb3b3 
								
							 
						 
						
							
							
								
								ice40: Add CIN_CONST and CIN_SET parameters to ICESTORM_LC  
							
							... 
							
							
							
							Signed-off-by: David Shah <davey1576@gmail.com> 
							
						 
						
							2018-07-13 13:09:18 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Olof Kindgren 
								
							 
						 
						
							
							
							
							
								
							
							
								faac2c5595 
								
							 
						 
						
							
							
								
								Avoid mixing module port declaration styles in ice40 cells_sim.v  
							
							... 
							
							
							
							The current code requires workarounds for several simulators
For modelsim, the file must be compiled with -mixedansiports and
xsim needs --relax. 
							
						 
						
							2018-05-17 13:54:43 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Larry Doolittle 
								
							 
						 
						
							
							
							
							
								
							
							
								efaef82f75 
								
							 
						 
						
							
							
								
								Squelch trailing whitespace, including meta-whitespace  
							
							
							
						 
						
							2018-03-11 16:03:41 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Graham Edgecombe 
								
							 
						 
						
							
							
							
							
								
							
							
								f93e6637aa 
								
							 
						 
						
							
							
								
								Fix port names in SB_IO_OD  
							
							
							
						 
						
							2017-12-10 15:33:38 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Graham Edgecombe 
								
							 
						 
						
							
							
							
							
								
							
							
								52ace35a73 
								
							 
						 
						
							
							
								
								Remove trailing comma from SB_IO_OD port list  
							
							... 
							
							
							
							This isn't compatible with Icarus Verilog. 
							
						 
						
							2017-12-10 15:33:38 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								5e8d1922a4 
								
							 
						 
						
							
							
								
								Add remaining UltraPlus cells to ice40 techlib  
							
							
							
						 
						
							2017-11-28 11:07:49 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								0505f1043c 
								
							 
						 
						
							
							
								
								Remove unnecessary keep attributes  
							
							
							
						 
						
							2017-11-18 17:53:21 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								f9f3ca5da0 
								
							 
						 
						
							
							
								
								Add some UltraPlus cells to ice40 techlib  
							
							
							
						 
						
							2017-11-16 12:24:35 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								0ccfb88728 
								
							 
						 
						
							
							
								
								Work around DDR dout sim glitches in ice40 SB_IO sim model  
							
							
							
						 
						
							2016-02-07 11:19:48 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								4d0a6dac7b 
								
							 
						 
						
							
							
								
								Merge pull request  #108  from cseed/master  
							
							... 
							
							
							
							Added LO to ICESTORM_LC for LUT cascade route. 
							
						 
						
							2015-12-07 03:32:20 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Cotton Seed 
								
							 
						 
						
							
							
							
							
								
							
							
								9f5b6e4cbc 
								
							 
						 
						
							
							
								
								Added LO to ICESTORM_LC for LUT cascade route.  
							
							
							
						 
						
							2015-12-06 17:24:48 -05:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								3ad742056b 
								
							 
						 
						
							
							
								
								Fixed iCE40 SB_IO OUTPUT_ENABLE vs. outena_q handling  
							
							
							
						 
						
							2015-11-06 17:02:16 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								99ccb3180d 
								
							 
						 
						
							
							
								
								Fixed ice40 handling of negclk RAM40  
							
							
							
						 
						
							2015-09-10 17:35:19 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								c43f38c81b 
								
							 
						 
						
							
							
								
								Improved handling of "keep" attributes in hierarchical designs in opt_clean  
							
							
							
						 
						
							2015-08-12 14:10:14 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcus Comstedt 
								
							 
						 
						
							
							
							
							
								
							
							
								c9e56bc428 
								
							 
						 
						
							
							
								
								Added iCE40 WARMBOOT cell  
							
							
							
						 
						
							2015-08-06 22:58:17 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								516e8828f2 
								
							 
						 
						
							
							
								
								Don't write a 17th memory bit in ice40/cells_sim (by Larry Doolittle)  
							
							
							
						 
						
							2015-07-27 22:44:01 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								c6ca4780e2 
								
							 
						 
						
							
							
								
								iCE40 DFF sim models: init Q regs to 0  
							
							
							
						 
						
							2015-07-20 13:05:18 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								54588a276a 
								
							 
						 
						
							
							
								
								Avoid tristate warning for blackbox ice40/cells_sim.v  
							
							
							
						 
						
							2015-07-18 11:59:04 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								6c84341f22 
								
							 
						 
						
							
							
								
								Fixed trailing whitespaces  
							
							
							
						 
						
							2015-07-02 11:14:30 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								09ef279b60 
								
							 
						 
						
							
							
								
								Added iCE40 PLL cells  
							
							
							
						 
						
							2015-05-31 13:10:43 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								313f570fcc 
								
							 
						 
						
							
							
								
								improved ice40 SB_IO sim model  
							
							
							
						 
						
							2015-05-23 10:17:03 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								264eb8eb6e 
								
							 
						 
						
							
							
								
								Added ice40 SB_IO sim model  
							
							
							
						 
						
							2015-05-23 09:30:24 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								4cc4400514 
								
							 
						 
						
							
							
								
								improved iCE40 SB_RAM40_4K simulation model  
							
							
							
						 
						
							2015-04-25 20:01:37 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								82a4722f46 
								
							 
						 
						
							
							
								
								More iCE40 bram improvements  
							
							
							
						 
						
							2015-04-25 18:04:57 +02:00