3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-10-09 17:31:59 +00:00
Commit graph

8791 commits

Author SHA1 Message Date
Eddie Hung
ba629e6a28 Merge remote-tracking branch 'origin/master' into xaig_arrival 2019-09-04 15:36:07 -07:00
Eddie Hung
d3eea82bc2 Revert "parse_xaiger() to do "clean -purge""
This reverts commit 5d16bf8316.
2019-09-04 15:21:39 -07:00
Eddie Hung
6fe1ca633d abc9 followed by clean otherwise netlist could be invalid for sim 2019-09-04 15:20:04 -07:00
Eddie Hung
433b0c677c Remove log_cell() calls 2019-09-04 13:42:44 -07:00
Eddie Hung
229e54568e Merge remote-tracking branch 'origin/eddie/peepopt_dffmuxext' into xc7dsp 2019-09-04 12:37:48 -07:00
Eddie Hung
3732d421c5 Merge remote-tracking branch 'origin/master' into xc7dsp 2019-09-04 12:37:42 -07:00
Eddie Hung
2b86055848 Add peepopt_dffmuxext 2019-09-04 12:35:15 -07:00
Eddie Hung
0cee66e759 Add peepopt_dffmuxext tests 2019-09-04 12:34:44 -07:00
whitequark
8c1a982494
Merge pull request #1354 from emilazy/remove-which-use
Replace `which` with `command -v` in Makefile too
2019-09-04 18:55:17 +00:00
Emily
a7ea6a6fcf Replace which with command -v in Makefile too 2019-09-04 19:01:00 +01:00
Eddie Hung
3c462e5eeb
Merge pull request #1338 from YosysHQ/eddie/deferred_top
hierarchy -auto-top to work with (* top *) modules from read/read_verilog -defer
2019-09-04 10:55:41 -07:00
Eddie Hung
e67e4a5ed6 Support CEM 2019-09-04 10:52:51 -07:00
Pepijn de Vos
ae93c034ad set undriven pads to zero 2019-09-04 16:29:40 +02:00
Pepijn de Vos
d65a47c86d fix tcl script 2019-09-04 15:35:33 +02:00
Pepijn de Vos
06062090da add broken TCL run script 2019-09-04 14:47:59 +02:00
SergeyDegtyar
93f305b1c5 Remove stat command form shifter.ys test 2019-09-04 14:57:45 +03:00
Pepijn de Vos
a6d81a8d14 Merge remote-tracking branch 'diego/gowin' 2019-09-04 11:20:05 +02:00
SergeyDegtyar
a203c8569c Fix ecp5 tests
- remove *_synth.v files and generation in scripts;
- change synth_ice40 to synth_ecp5;
2019-09-04 12:15:52 +03:00
Pepijn de Vos
0723672451 Add demonstration of breakage
Unused outputs lead to undriven buffers, which lead to syntax errors.
2019-09-04 11:01:28 +02:00
Pepijn de Vos
6cf5157fe7 Update example for GW1NR-9
This uses the Trenz TEC0117 on Gowin IDE 1.8.4
2019-09-04 10:52:28 +02:00
Pepijn de Vos
b51c972296 Merge branch 'master' of https://github.com/YosysHQ/yosys 2019-09-04 10:37:27 +02:00
Pepijn de Vos
ec56438cf2 gowin: add splitnets to appease the PnR 2019-09-04 10:33:47 +02:00
Eddie Hung
80aec0f006 st.ffP from if to assert 2019-09-03 16:37:59 -07:00
Eddie Hung
16316aa05d Rename muxAB to postAddMux 2019-09-03 16:24:59 -07:00
Eddie Hung
cd002ad3fb Use choices for addAB, now called postAdd 2019-09-03 16:10:16 -07:00
Eddie Hung
2d80866daf Add support for load value into DSP48E1.P 2019-09-03 15:53:10 -07:00
Eddie Hung
682153de4b Process post-adder first since C could be used for load-P 2019-09-03 14:57:59 -07:00
Eddie Hung
97d11708e0 Use feedback path for MACC 2019-09-03 14:37:32 -07:00
Eddie Hung
d2306d7b1d Adopt @cliffordwolf's suggestion 2019-09-03 12:18:50 -07:00
Eddie Hung
0ca0706630 Expand test with `hierarchy' without -auto-top 2019-09-03 12:17:26 -07:00
Eddie Hung
8124716830 Add read -noverific before read 2019-09-03 10:52:34 -07:00
Eddie Hung
d6a84a78a7 Merge remote-tracking branch 'origin/master' into eddie/deferred_top 2019-09-03 10:49:21 -07:00
SergeyDegtyar
55fbc1a355 Uncomment sat command in memory.ys test. 2019-09-03 12:11:12 +03:00
SergeyDegtyar
11f330ed22 Add tests for ECP5 architecture 2019-09-03 11:53:37 +03:00
Clifford Wolf
58af64b63a
Merge pull request #1351 from emilazy/remove-which-use
Use `command -v` rather than `which`
2019-09-03 09:27:47 +02:00
Emily
69a5dea89e Use command -v rather than which 2019-09-03 00:57:32 +01:00
Diego H
5aa8d7ceeb Updating gowin 2019-09-02 17:43:27 -05:00
Clifford Wolf
25e5fbac90 Properly construct $live and $fair cells from "if (...) assume/assert (s_eventually ...)"
Fixes https://github.com/YosysHQ/SymbiYosys/issues/59

Signed-off-by: Clifford Wolf <clifford@clifford.at>
2019-09-02 22:56:38 +02:00
Eddie Hung
3459d28349 Add comments 2019-09-02 12:22:15 -07:00
Eddie Hung
696f854801 Rename box 2019-09-02 12:15:11 -07:00
Eddie Hung
2fa3857963 Merge remote-tracking branch 'origin/master' into xaig_arrival 2019-09-02 12:13:44 -07:00
Eddie Hung
7e8f7f4c59 Merge branch 'master' of github.com:YosysHQ/yosys 2019-09-02 12:13:33 -07:00
Eddie Hung
f76cb58494 Recognise built-in types (e.g. $_DFF_*) 2019-09-02 12:13:25 -07:00
Eddie Hung
4aa505d1b2
Merge pull request #1344 from YosysHQ/eddie/ice40_signed_macc
ice40_dsp to allow signed multipliers
2019-09-01 10:11:33 -07:00
Clifford Wolf
4a7bc8c772
Merge pull request #1347 from mmicko/fix_select_error_msg
Fix select command error msg, fixes issue #1081
2019-09-01 13:30:57 +02:00
David Shah
68fe1eba6c
Merge pull request #1346 from mmicko/fix_ecp5_cells_sim
Fix TRELLIS_FF simulation model
2019-09-01 10:01:27 +01:00
Miodrag Milanovic
fa5065e9b5 Fix select command error msg, fixes issue #1081 2019-09-01 11:00:09 +02:00
Miodrag Milanovic
a3c16a0565 Fix TRELLIS_FF simulation model 2019-08-31 11:12:06 +02:00
David Shah
90b44113d8 ecp5_gsr: Fix typo
Signed-off-by: David Shah <dave@ds0.me>
2019-08-31 09:58:46 +01:00
Eddie Hung
4290548de3 Make abc9 test a bit more interesting 2019-08-30 20:31:53 -07:00