Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								33738c1745 
								
							 
						 
						
							
							
								
								Fix handling of partial init attributes in write_verilog,  fixes   #997  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-05-07 19:55:36 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								87426f5a06 
								
							 
						 
						
							
							
								
								Improve write_verilog specify support  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-05-04 08:46:24 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								e807e88b60 
								
							 
						 
						
							
							
								
								Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nomenclature  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-23 21:36:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								846eb5ea98 
								
							 
						 
						
							
							
								
								Add $specify2/$specify3 support to write_verilog  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-23 21:36:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								0bf9d0087c 
								
							 
						 
						
							
							
								
								Add support for $assert/$assume/$cover to write_verilog  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-23 21:36:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								0e0c80fac8 
								
							 
						 
						
							
							
								
								Add support for zero-width signals to Verilog back-end,  fixes   #948  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-22 19:44:42 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								f4abc21d8a 
								
							 
						 
						
							
							
								
								Add "whitebox" attribute, add "read_verilog -wb"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-18 17:45:47 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								20c6a8c9b0 
								
							 
						 
						
							
							
								
								Improve determinism of IdString DB for similar scripts  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-03-11 20:12:28 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								241901461a 
								
							 
						 
						
							
							
								
								Add "write_verilog -siminit"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-02-28 15:03:03 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								11480b4fa3 
								
							 
						 
						
							
							
								
								Instead of INIT param on cells, use initial statement with hier ref as  
							
							... 
							
							
							
							per @cliffordwolf 
							
						 
						
							2019-02-17 12:18:12 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								17cd5f759f 
								
							 
						 
						
							
							
								
								Merge  https://github.com/YosysHQ/yosys  into dff_init  
							
							
							
						 
						
							2019-02-17 11:49:06 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								20ca795b87 
								
							 
						 
						
							
							
								
								Remove check for cell->name[0] == '$'  
							
							
							
						 
						
							2019-02-06 14:53:40 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								c373640a3a 
								
							 
						 
						
							
							
								
								Refactor  
							
							
							
						 
						
							2019-02-06 14:28:44 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								8241db6960 
								
							 
						 
						
							
							
								
								write_verilog to cope with init attr on q when -noexpr  
							
							
							
						 
						
							2019-02-06 14:17:09 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								da65e1e8d9 
								
							 
						 
						
							
							
								
								write_verilog: correctly emit asynchronous transparent ports.  
							
							... 
							
							
							
							This commit fixes two related issues:
  * For asynchronous ports, clock is no longer added to domain list.
    (This would lead to absurd constructs like `always @(posedge 0)`.
  * The logic to distinguish synchronous and asynchronous ports is
    changed to correctly use or avoid clock in all cases.
Before this commit, the following RTLIL snippet (after memory_collect)
    cell $memrd $2
      parameter \MEMID "\\mem"
      parameter \ABITS 2
      parameter \WIDTH 4
      parameter \CLK_ENABLE 0
      parameter \CLK_POLARITY 1
      parameter \TRANSPARENT 1
      connect \CLK 1'0
      connect \EN 1'1
      connect \ADDR \mem_r_addr
      connect \DATA \mem_r_data
    end
would lead to invalid Verilog:
    reg [1:0] _0_;
    always @(posedge 1'h0) begin
      _0_ <= mem_r_addr;
    end
    assign mem_r_data = mem[_0_];
Note that there are two potential pitfalls remaining after this
change:
  * For asynchronous ports, the \EN input and \TRANSPARENT parameter
    are silently ignored. (Per discussion in #760  this is the correct
    behavior.)
  * For synchronous transparent ports, the \EN input is ignored. This
    matches the behavior of the $mem simulation cell. Again, see #760 . 
							
						 
						
							2019-01-29 02:24:00 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								81581f24fc 
								
							 
						 
						
							
							
								
								Merge pull request  #800  from whitequark/write_verilog_tribuf  
							
							... 
							
							
							
							write_verilog: write $tribuf cell as ternary 
							
						 
						
							2019-01-27 09:23:41 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								3d7925ad9f 
								
							 
						 
						
							
							
								
								write_verilog: write $tribuf cell as ternary.  
							
							
							
						 
						
							2019-01-27 00:24:06 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								42c47a83da 
								
							 
						 
						
							
							
								
								write_verilog: escape names that match SystemVerilog keywords.  
							
							
							
						 
						
							2019-01-27 00:03:53 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								6c5049f016 
								
							 
						 
						
							
							
								
								Fix handling of $shiftx in Verilog back-end  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-01-15 10:55:27 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								efa278e232 
								
							 
						 
						
							
							
								
								Fix typographical and grammatical errors and inconsistencies.  
							
							... 
							
							
							
							The initial list of hits was generated with the codespell command
below, and each hit was evaluated and fixed manually while taking
context into consideration.
    DIRS="kernel/ frontends/ backends/ passes/ techlibs/"
    DIRS="${DIRS} libs/ezsat/ libs/subcircuit"
    codespell $DIRS -S *.o -L upto,iff,thru,synopsys,uint
More hits were found by looking through comments and strings manually. 
							
						 
						
							2019-01-02 13:12:17 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								ca866d384e 
								
							 
						 
						
							
							
								
								write_verilog: handle the $shift cell.  
							
							... 
							
							
							
							The implementation corresponds to the following Verilog, which is
lifted straight from simlib.v:
    module \\$shift (A, B, Y);
    parameter A_SIGNED = 0;
    parameter B_SIGNED = 0;
    parameter A_WIDTH = 0;
    parameter B_WIDTH = 0;
    parameter Y_WIDTH = 0;
    input [A_WIDTH-1:0] A;
    input [B_WIDTH-1:0] B;
    output [Y_WIDTH-1:0] Y;
    generate
        if (B_SIGNED) begin:BLOCK1
            assign Y = $signed(B) < 0 ? A << -B : A >> B;
        end else begin:BLOCK2
            assign Y = A >> B;
        end
    endgenerate
    endmodule 
							
						 
						
							2018-12-16 18:46:32 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								ddff75b60a 
								
							 
						 
						
							
							
								
								Merge pull request  #736  from whitequark/select_assert_list  
							
							... 
							
							
							
							select: print selection if a -assert-* flag causes an error 
							
						 
						
							2018-12-16 16:45:49 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								fccaa25ec1 
								
							 
						 
						
							
							
								
								write_verilog: add a missing newline.  
							
							
							
						 
						
							2018-12-16 15:22:34 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								7fe770a441 
								
							 
						 
						
							
							
								
								write_verilog: correctly map RTLIL sync init.  
							
							
							
						 
						
							2018-12-07 18:55:08 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									rafaeltp 
								
							 
						 
						
							
							
							
							
								
							
							
								c7770d9eea 
								
							 
						 
						
							
							
								
								adding offset info to memories  
							
							
							
						 
						
							2018-10-18 16:22:33 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									rafaeltp 
								
							 
						 
						
							
							
							
							
								
							
							
								609f46eeb7 
								
							 
						 
						
							
							
								
								adding offset info to memories  
							
							
							
						 
						
							2018-10-18 16:20:21 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									acw1251 
								
							 
						 
						
							
							
							
							
								
							
							
								efac8a45a6 
								
							 
						 
						
							
							
								
								Fixed typo in "verilog_write" help message  
							
							
							
						 
						
							2018-09-18 13:34:30 -04:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								12440fcc8f 
								
							 
						 
						
							
							
								
								Add $lut support to Verilog back-end  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-09-06 00:18:01 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Henner Zeller 
								
							 
						 
						
							
							
							
							
								
							
							
								3aa4484a3c 
								
							 
						 
						
							
							
								
								Consistent use of 'override' for virtual methods in derived classes.  
							
							... 
							
							
							
							o Not all derived methods were marked 'override', but it is a great
  feature of C++11 that we should make use of.
o While at it: touched header files got a -*- c++ -*- for emacs to
  provide support for that language.
o use YS_OVERRIDE for all override keywords (though we should probably
  use the plain keyword going forward now that C++11 is established) 
							
						 
						
							2018-07-20 23:51:06 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								d9a2b43014 
								
							 
						 
						
							
							
								
								Add $dlatch support to write_verilog  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-04-22 16:03:26 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								adf1754729 
								
							 
						 
						
							
							
								
								Add $shiftx support to verilog front-end  
							
							
							
						 
						
							2017-10-07 13:40:54 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								65f91e5120 
								
							 
						 
						
							
							
								
								Rename "write_verilog -nobasenradix" to "write_verilog -decimal"  
							
							
							
						 
						
							2017-10-03 17:31:21 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									dh73 
								
							 
						 
						
							
							
							
							
								
							
							
								e480847753 
								
							 
						 
						
							
							
								
								Fixed wrong declaration in Verilog backend  
							
							
							
						 
						
							2017-10-01 11:11:32 -05:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									dh73 
								
							 
						 
						
							
							
							
							
								
							
							
								cbaba62401 
								
							 
						 
						
							
							
								
								Adding Cyclone IV (E, GX), Arria 10, Cyclone V and LPM functions (ALTPLL and M9K); M9K is not finished yet. Achronix Speedster also in this commit. Both Arria10 and Speedster-i are still experimental due complexity, but you can experiment around those devices right now  
							
							
							
						 
						
							2017-10-01 11:04:17 -05:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								05cdd58c8d 
								
							 
						 
						
							
							
								
								Add $_ANDNOT_ and $_ORNOT_ gates  
							
							
							
						 
						
							2017-05-17 09:08:29 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								ce132cf652 
								
							 
						 
						
							
							
								
								Cleanups and fixed in write_verilog regarding reg init  
							
							
							
						 
						
							2016-11-16 12:00:39 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								3db2ac4e00 
								
							 
						 
						
							
							
								
								Added hex constant support to write_verilog  
							
							
							
						 
						
							2016-11-03 12:13:23 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								caa2fc62ef 
								
							 
						 
						
							
							
								
								Adde "write_verilog -renameprefix -v"  
							
							
							
						 
						
							2016-11-01 11:30:27 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								75bf7416f0 
								
							 
						 
						
							
							
								
								Bugfix in partial mem write handling in verilog back-end  
							
							
							
						 
						
							2016-08-20 13:06:06 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								9b8e06bee1 
								
							 
						 
						
							
							
								
								Added missing support for mem read enable ports to verilog back-end  
							
							
							
						 
						
							2016-08-18 21:47:02 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								f0a8713fea 
								
							 
						 
						
							
							
								
								Fixed upto handling in verilog back-end  
							
							
							
						 
						
							2016-08-15 08:26:20 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								5fe13a16ea 
								
							 
						 
						
							
							
								
								Added "write_verilog -defparam"  
							
							
							
						 
						
							2016-07-30 12:46:06 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								7fa61cba1b 
								
							 
						 
						
							
							
								
								Added "write_verilog -nodec -nostr"  
							
							
							
						 
						
							2016-07-30 12:38:40 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								0bc95f1e04 
								
							 
						 
						
							
							
								
								Added "yosys -D" feature  
							
							
							
						 
						
							2016-04-21 23:28:37 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								2a8d5e64f5 
								
							 
						 
						
							
							
								
								Bugfix in write_verilog for RTLIL processes  
							
							
							
						 
						
							2016-03-14 13:03:28 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								4ac202e2a5 
								
							 
						 
						
							
							
								
								Bugfixes in writing of memories as Verilog  
							
							
							
						 
						
							2015-09-25 13:49:26 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Larry Doolittle 
								
							 
						 
						
							
							
							
							
								
							
							
								6c00704a5e 
								
							 
						 
						
							
							
								
								Another block of spelling fixes  
							
							... 
							
							
							
							Smaller this time 
							
						 
						
							2015-08-14 23:27:05 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								0350074819 
								
							 
						 
						
							
							
								
								Re-created command-reference-manual.tex, copied some doc fixes to online help  
							
							
							
						 
						
							2015-08-14 11:27:19 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								84bf862f7c 
								
							 
						 
						
							
							
								
								Spell check (by Larry Doolittle)  
							
							
							
						 
						
							2015-08-14 10:56:05 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								6c84341f22 
								
							 
						 
						
							
							
								
								Fixed trailing whitespaces  
							
							
							
						 
						
							2015-07-02 11:14:30 +02:00