Eddie Hung
|
99a3fee8f4
|
Add "min bits" and "min wports" to xilinx dram rules
|
2019-05-23 11:32:28 -07:00 |
|
Clifford Wolf
|
c4b8575f43
|
Add "wreduce -keepdc", fixes #1016
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
2019-05-20 15:36:13 +02:00 |
|
Sylvain Munaut
|
4f9183d107
|
ice40/cells_sim.v: Add support for TRIM input to SB_HFOSC
Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
|
2019-05-13 12:51:06 +02:00 |
|
Clifford Wolf
|
04ef222cfb
|
Add "stat -tech xilinx"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
2019-05-11 09:24:52 +02:00 |
|
Ben Widawsky
|
05d8cc4567
|
Fix formatting for synth_intel.cc
This is realized through the recently added .clang-format file.
Signed-off-by: Ben Widawsky <ben@bwidawsk.net>
|
2019-05-09 08:40:05 -07:00 |
|
Clifford Wolf
|
09467bb9a3
|
Add "synth_xilinx -arch"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
2019-05-07 15:04:36 +02:00 |
|
Eddie Hung
|
d9c4644e88
|
Merge remote-tracking branch 'origin/master' into clifford/specify
|
2019-05-03 15:05:57 -07:00 |
|
Eddie Hung
|
c2e29ab809
|
Rename cells_map.v to prevent clash with ff_map.v
|
2019-05-03 14:40:32 -07:00 |
|
Clifford Wolf
|
373b236108
|
Merge pull request #969 from YosysHQ/clifford/pmgenstuff
Improve pmgen, Add "peepopt" pass with shift-mul pattern
|
2019-05-03 20:39:50 +02:00 |
|
Eddie Hung
|
d394b9301b
|
Back to passing all xc7srl tests!
|
2019-05-01 18:23:21 -07:00 |
|
Eddie Hung
|
31ff0d8ef5
|
Merge remote-tracking branch 'origin/master' into eddie/synth_xilinx_fine
|
2019-05-01 18:09:38 -07:00 |
|
Clifford Wolf
|
a27eeff573
|
Merge pull request #966 from YosysHQ/clifford/fix956
Drive dangling wires with init attr with their init value
|
2019-04-30 18:08:41 +02:00 |
|
Clifford Wolf
|
9d117eba9d
|
Add handling of init attributes in "opt_expr -undriven"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
2019-04-30 14:46:12 +02:00 |
|
Clifford Wolf
|
d2d402e625
|
Run "peepopt" in generic "synth" pass and "synth_ice40"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
2019-04-30 08:10:37 +02:00 |
|
Eddie Hung
|
e97178a888
|
WIP
|
2019-04-28 12:51:00 -07:00 |
|
Eddie Hung
|
af840bbc63
|
Move neg-pol to pos-pol mapping from ff_map to cells_map.v
|
2019-04-28 12:36:04 -07:00 |
|
Eddie Hung
|
d855683917
|
Revert synth_xilinx 'fine' label more to how it used to be...
|
2019-04-26 16:53:16 -07:00 |
|
Eddie Hung
|
ea0e0722bb
|
Where did this check come from!?!
|
2019-04-26 15:35:34 -07:00 |
|
Eddie Hung
|
727eec04c5
|
Refactor synth_xilinx to auto-generate doc
|
2019-04-26 14:32:18 -07:00 |
|
Eddie Hung
|
1ea6d7920f
|
Cleanup ice40
|
2019-04-26 14:31:59 -07:00 |
|
Clifford Wolf
|
64925b4e8f
|
Improve $specrule interface
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
2019-04-23 22:57:10 +02:00 |
|
Clifford Wolf
|
4575e4ad86
|
Improve $specrule interface
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
2019-04-23 22:18:04 +02:00 |
|
Clifford Wolf
|
71c38d9de5
|
Add $specrule cells for $setup/$hold/$skew specify rules
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
2019-04-23 21:36:59 +02:00 |
|
Clifford Wolf
|
e807e88b60
|
Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nomenclature
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
2019-04-23 21:36:59 +02:00 |
|
Clifford Wolf
|
a7e11261bd
|
Add $specify2 and $specify3 cells to simlib
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
2019-04-23 21:36:59 +02:00 |
|
Eddie Hung
|
ec88129a5c
|
Update help message
|
2019-04-22 11:38:23 -07:00 |
|
Eddie Hung
|
0e76718720
|
Move 'shregmap -tech xilinx' into map_cells
|
2019-04-22 10:45:39 -07:00 |
|
Eddie Hung
|
e300b1922c
|
Merge remote-tracking branch 'origin/master' into xc7srl
|
2019-04-22 10:36:27 -07:00 |
|
Clifford Wolf
|
0e7901e45c
|
Merge pull request #941 from Wren6991/sim_lib_io_clke
ice40 cells_sim.v: update clock enable behaviour based on hardware experiments
|
2019-04-22 09:11:13 +02:00 |
|
Clifford Wolf
|
913659d644
|
Merge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master
|
2019-04-22 09:09:27 +02:00 |
|
Clifford Wolf
|
cf1ba46fa0
|
Re-added clean after techmap in synth_xilinx
Signed-off-by: Clifford Wolf <clifford@clifford.at>
|
2019-04-22 09:03:11 +02:00 |
|
Clifford Wolf
|
cbd9b8a3f3
|
Merge pull request #916 from YosysHQ/map_cells_before_map_luts
synth_xilinx to map_cells before map_luts
|
2019-04-22 09:01:00 +02:00 |
|
Clifford Wolf
|
19fd411e77
|
Merge pull request #911 from mmicko/gowin-nobram
Make nobram false by default for gowin
|
2019-04-22 08:58:09 +02:00 |
|
Eddie Hung
|
d342b5b135
|
Tidy up, fix for -nosrl
|
2019-04-21 15:33:03 -07:00 |
|
Eddie Hung
|
726e2da8f2
|
Merge branch 'map_cells_before_map_luts' into xc7srl
|
2019-04-21 14:28:55 -07:00 |
|
Eddie Hung
|
a3371e118b
|
Merge branch 'master' into map_cells_before_map_luts
|
2019-04-21 14:24:50 -07:00 |
|
Eddie Hung
|
ae95aba60a
|
Add comments
|
2019-04-21 14:16:59 -07:00 |
|
Eddie Hung
|
d99422411f
|
Use new pmux2shiftx from #944, remove my old attempt
|
2019-04-21 14:16:34 -07:00 |
|
Luke Wren
|
71da836300
|
ice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware experiments
|
2019-04-21 21:40:11 +01:00 |
|
Eddie Hung
|
13ad19482f
|
Merge remote-tracking branch 'origin' into xc7srl
|
2019-04-20 10:41:43 -07:00 |
|
Eddie Hung
|
6008bb7002
|
Revert "synth_* with -retime option now calls abc with -D 1 as well"
This reverts commit 9a6da9a79a.
|
2019-04-18 07:59:16 -07:00 |
|
Eddie Hung
|
0642baabbc
|
Merge branch 'master' into eddie/fix_retime
|
2019-04-18 07:57:17 -07:00 |
|
Diego
|
f9272fc56d
|
GoWin enablement: DRAM, initial BRAM, DRAM init, DRAM sim and synth_gowin flow
|
2019-04-12 23:40:02 -05:00 |
|
Eddie Hung
|
db1a5ec6a2
|
Merge pull request #928 from litghost/add_xc7_sim_models
Add additional cells sim models for core 7-series primitives.
|
2019-04-12 11:52:45 -07:00 |
|
Keith Rothman
|
1f9235ede5
|
Remove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
|
2019-04-12 09:35:15 -07:00 |
|
Diego
|
643ae9bfc5
|
Fixing issues in CycloneV cell sim
|
2019-04-11 19:59:03 -05:00 |
|
Eddie Hung
|
9a6da9a79a
|
synth_* with -retime option now calls abc with -D 1 as well
|
2019-04-10 08:32:53 -07:00 |
|
Keith Rothman
|
e107ccdde8
|
Fix LUT6_2 definition.
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
|
2019-04-09 11:43:19 -07:00 |
|
Keith Rothman
|
5e0339855f
|
Add additional cells sim models for core 7-series primatives.
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
|
2019-04-09 09:01:53 -07:00 |
|
Eddie Hung
|
1d526b7f06
|
Call shregmap twice -- once for variable, another for fixed
|
2019-04-05 17:35:49 -07:00 |
|