Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								028f96e536 
								
							 
						 
						
							
							
								
								intel_alm: better map wide but shallow multiplies  
							
							
							
						 
						
							2020-08-28 23:44:16 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								1a07b330f8 
								
							 
						 
						
							
							
								
								intel_alm: Add multiply signedness to cells  
							
							... 
							
							
							
							Quartus assumes unsigned multiplication by default, breaking signed
multiplies, so add an input signedness parameter to the MISTRAL_MUL*
cells to propagate to Quartus' <family>_mac cells. 
							
						 
						
							2020-08-26 22:50:16 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								082cbcb4c7 
								
							 
						 
						
							
							
								
								synth_intel: Remove incomplete Arria 10 GX support.  
							
							... 
							
							
							
							The techmap rules for this target do not work in the first place (note
lack of >2-input LUT mappings), and if proper support is ever added,
it'd be better placed in the synth_intel_alm backend. 
							
						 
						
							2020-08-21 01:46:06 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								034b9ec716 
								
							 
						 
						
							
							
								
								intel: move Cyclone V support to intel_alm  
							
							
							
						 
						
							2020-08-20 18:25:05 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									clairexen 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								d9dd8bc748 
								
							 
						 
						
							
							
								
								Merge pull request  #2347  from YosysHQ/mwk/techmap-shift-fixes  
							
							... 
							
							
							
							techmap/shift_shiftx: Remove the "shiftx2mux" special path. 
							
						 
						
							2020-08-20 16:25:56 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									clairexen 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								1cdb533fa5 
								
							 
						 
						
							
							
								
								Merge pull request  #2319  from YosysHQ/mwk/techmap-celltype-pattern  
							
							... 
							
							
							
							techmap: Add support for [] wildcards in techmap_celltype. 
							
						 
						
							2020-08-20 16:18:40 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								50d532f01c 
								
							 
						 
						
							
							
								
								techmap/shift_shiftx: Remove the "shiftx2mux" special path.  
							
							... 
							
							
							
							Our techmap rules for $shift and $shiftx cells contained a special path
that aimed to decompose the shift LSB-first instead of MSB-first in
select cases that come up in pmux lowering.  This path was needlessly
overcomplicated and contained bugs.
Instead of doing that, just switch over the main path to iterate
LSB-first (except for the specially-handled MSB for signed shifts
and overflow handling).  This also makes the code consistent with
shl/shr/sshl/sshr cells, which are already decomposed LSB-first.
Fixes  #2346 . 
							
						 
						
							2020-08-20 12:44:09 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Xiretza 
								
							 
						 
						
							
							
							
							
								
							
							
								928fd40c2e 
								
							 
						 
						
							
							
								
								Respect \A_SIGNED for $shift  
							
							... 
							
							
							
							This reflects the behaviour of $shr/$shl, which sign-extend their A
operands to the size of their output, then do a logical shift (shift in
0-bits). 
							
						 
						
							2020-08-18 19:36:24 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								3b534a203a 
								
							 
						 
						
							
							
								
								intel_alm: fix typo in MISTRAL_MUL27X27 cell name  
							
							
							
						 
						
							2020-08-13 17:08:50 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								97daf612cb 
								
							 
						 
						
							
							
								
								intel_alm: add more megafunctions. NFC.  
							
							
							
						 
						
							2020-08-12 18:39:22 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								9a4f420b4b 
								
							 
						 
						
							
							
								
								Replace opt_rmdff with opt_dff.  
							
							
							
						 
						
							2020-08-07 13:21:03 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								522788f016 
								
							 
						 
						
							
							
								
								techmap: Add support for [] wildcards in techmap_celltype.  
							
							... 
							
							
							
							Fixes  #1826 . 
						
							2020-08-02 22:46:48 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								6cd135a5eb 
								
							 
						 
						
							
							
								
								opt_expr: Remove -clkinv option, make it the default.  
							
							... 
							
							
							
							Adds -noclkinv option just in case the old behavior was actually useful
to someone. 
							
						 
						
							2020-07-31 00:08:15 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								cf60699884 
								
							 
						 
						
							
							
								
								synth_ice40: Use opt_dff.  
							
							... 
							
							
							
							The main part is converting ice40_dsp to recognize the new FF types
created in opt_dff instead of trying to recognize the mux patterns on
its own.
The fsm call has been moved upwards because the passes cannot deal with
$dffe/$sdff*, and other optimizations don't help it much anyway. 
							
						 
						
							2020-07-30 22:26:20 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								8501342fc5 
								
							 
						 
						
							
							
								
								synth_xilinx: Use opt_dff.  
							
							... 
							
							
							
							The main part is converting xilinx_dsp to recognize the new FF types
created in opt_dff instead of trying to recognize the patterns on its
own.
The fsm call has been moved upwards because the passes cannot deal with
$dffe/$sdff*, and other optimizations don't help it much anyway. 
							
						 
						
							2020-07-30 22:26:09 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								a2fb84fd0c 
								
							 
						 
						
							
							
								
								intel_alm: direct M10K instantiation  
							
							... 
							
							
							
							This reverts commit a3a90f6377 
							
						 
						
							2020-07-27 15:39:06 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								62311b7ec0 
								
							 
						 
						
							
							
								
								intel_alm: increase abc9 -W  
							
							
							
						 
						
							2020-07-26 23:56:54 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									clairexen 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								02583ad504 
								
							 
						 
						
							
							
								
								Merge pull request  #2294  from Ravenslofty/intel_alm_timings  
							
							... 
							
							
							
							intel_alm: add additional ABC9 timings 
							
						 
						
							2020-07-23 18:21:20 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								4d9d90079c 
								
							 
						 
						
							
							
								
								intel_alm: add additional ABC9 timings  
							
							
							
						 
						
							2020-07-23 11:57:07 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Keith Rothman 
								
							 
						 
						
							
							
							
							
								
							
							
								819f1d8c20 
								
							 
						 
						
							
							
								
								Remove EXPLICIT_CARRY logic.  
							
							... 
							
							
							
							The symbiflow-arch-defs tool chain no longer needs the EXPLICIT_CARRY
within yosys itself.
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com> 
							
						 
						
							2020-07-23 00:56:09 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								1b95b0e570 
								
							 
						 
						
							
							
								
								sf2: Emit CLKINT even if -clkbuf not passed  
							
							... 
							
							
							
							This restores pre #2229  behavior. 
							
						 
						
							2020-07-17 15:01:47 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanović 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								10bc0967e2 
								
							 
						 
						
							
							
								
								Merge pull request  #2274  from YosysHQ/mwk/anlogic-ff-fix  
							
							... 
							
							
							
							anlogic: Fix FF mapping. 
							
						 
						
							2020-07-17 14:39:31 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								a4f7777e9d 
								
							 
						 
						
							
							
								
								anlogic: Fix FF mapping.  
							
							
							
						 
						
							2020-07-17 14:03:21 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									clairexen 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								9a5d6e1789 
								
							 
						 
						
							
							
								
								Merge pull request  #2229  from Ravenslofty/sf2_remove_sf2_iobs  
							
							... 
							
							
							
							sf2: replace sf2_iobs with {clkbuf,iopad}map 
							
						 
						
							2020-07-16 18:33:56 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanović 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								910f421324 
								
							 
						 
						
							
							
								
								Merge pull request  #2238  from YosysHQ/mwk/dfflegalize-anlogic  
							
							... 
							
							
							
							anlogic: Use dfflegalize. 
							
						 
						
							2020-07-16 18:07:58 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanović 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								b74eb598bc 
								
							 
						 
						
							
							
								
								Merge pull request  #2226  from YosysHQ/mwk/nuke-efinix-gbuf  
							
							... 
							
							
							
							efinix: Nuke efinix_gbuf in favor of clkbufmap. 
							
						 
						
							2020-07-16 18:07:41 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								a786091b46 
								
							 
						 
						
							
							
								
								achronix: Use dfflegalize.  
							
							
							
						 
						
							2020-07-14 23:12:16 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								3050454d6e 
								
							 
						 
						
							
							
								
								anlogic: Use dfflegalize.  
							
							
							
						 
						
							2020-07-14 05:02:50 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								3209c0762a 
								
							 
						 
						
							
							
								
								intel: Use dfflegalize.  
							
							
							
						 
						
							2020-07-13 19:21:05 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Lofty 
								
							 
						 
						
							
							
							
							
								
							
							
								a3a90f6377 
								
							 
						 
						
							
							
								
								Revert "intel_alm: direct M10K instantiation"  
							
							... 
							
							
							
							This reverts commit 09ecb9b2cf 
							
						 
						
							2020-07-13 18:05:38 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								347dd01c2f 
								
							 
						 
						
							
							
								
								xilinx: Fix srl regression.  
							
							... 
							
							
							
							Of standard yosys cells, xilinx_srl only works on $_DFF_?_ and
$_DFFE_?P_, which get upgraded to $_SDFFE_?P?P_ by dfflegalize at the
point where xilinx_srl is called for non-abc9.  Fix this by running
ff_map.v first, resulting in FDRE cells, which are handled correctly. 
							
						 
						
							2020-07-12 23:41:27 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								7dc0439de4 
								
							 
						 
						
							
							
								
								sf2: replace sf2_iobs with {clkbuf,iopad}map  
							
							
							
						 
						
							2020-07-09 21:28:52 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								edbaf2fdf6 
								
							 
						 
						
							
							
								
								sf2: Use dfflegalize.  
							
							
							
						 
						
							2020-07-09 21:56:14 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								f313211c32 
								
							 
						 
						
							
							
								
								xilinx: Use dfflegalize.  
							
							
							
						 
						
							2020-07-09 18:54:23 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								d5e5d96527 
								
							 
						 
						
							
							
								
								efinix: Use dfflegalize.  
							
							
							
						 
						
							2020-07-06 12:28:17 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								c73ebeb90e 
								
							 
						 
						
							
							
								
								gowin: Use dfflegalize.  
							
							
							
						 
						
							2020-07-06 12:27:46 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								09ecb9b2cf 
								
							 
						 
						
							
							
								
								intel_alm: direct M10K instantiation  
							
							
							
						 
						
							2020-07-05 23:28:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								7f45cab27a 
								
							 
						 
						
							
							
								
								synth_gowin: ABC9 support  
							
							... 
							
							
							
							This adds ABC9 support for synth_gowin; drastically improving
synthesis quality. 
							
						 
						
							2020-07-05 22:07:17 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								b5f3b70cfe 
								
							 
						 
						
							
							
								
								Merge pull request  #2236  from YosysHQ/mwk/dfflegalize-ice40  
							
							... 
							
							
							
							ice40: Use dfflegalize. 
							
						 
						
							2020-07-05 18:50:25 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								372521ca56 
								
							 
						 
						
							
							
								
								ecp5: Use dfflegalize.  
							
							
							
						 
						
							2020-07-05 18:49:41 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								90b89e5ebc 
								
							 
						 
						
							
							
								
								Merge pull request  #2232  from YosysHQ/mwk/gowin-sim-init  
							
							... 
							
							
							
							gowin: Fix INIT values in sim library. 
							
						 
						
							2020-07-05 12:02:31 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								b004f09018 
								
							 
						 
						
							
							
								
								intel_alm: DSP inference  
							
							
							
						 
						
							2020-07-05 05:39:20 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								1fc8c3a0d1 
								
							 
						 
						
							
							
								
								ice40: Use dfflegalize.  
							
							
							
						 
						
							2020-07-05 05:12:09 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								9beed4d771 
								
							 
						 
						
							
							
								
								gowin: Fix INIT values in sim library.  
							
							
							
						 
						
							2020-07-05 03:03:48 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								01772dec8c 
								
							 
						 
						
							
							
								
								gowin: replace determine_init with setundef  
							
							
							
						 
						
							2020-07-04 23:26:56 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								3ca2de0f77 
								
							 
						 
						
							
							
								
								synth_intel_alm: Use dfflegalize.  
							
							
							
						 
						
							2020-07-04 22:56:16 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Marcelina Kościelnicka 
								
							 
						 
						
							
							
							
							
								
							
							
								6b0ac04698 
								
							 
						 
						
							
							
								
								efinix: Nuke efinix_gbuf in favor of clkbufmap.  
							
							
							
						 
						
							2020-07-04 20:53:43 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Ravensloft 
								
							 
						 
						
							
							
							
							
								
							
							
								c6765443fd 
								
							 
						 
						
							
							
								
								Improve MISTRAL_FF specify rules  
							
							... 
							
							
							
							Co-authored-by: Eddie Hung <eddie@fpgeh.com> 
							
						 
						
							2020-07-04 19:45:10 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								2bdced0d68 
								
							 
						 
						
							
							
								
								intel_alm: compose $__MISTRAL_FF_SYNCONLY from MISTRAL_FF  
							
							
							
						 
						
							2020-07-04 19:45:10 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								3db3e1e149 
								
							 
						 
						
							
							
								
								intel_alm: add $__ prefix to MISTRAL_FF_SYNCONLY  
							
							
							
						 
						
							2020-07-04 19:45:10 +02:00