Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								6dad191377 
								
							 
						 
						
							
							
								
								Add "read_ilang -[no]overwrite"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-12-23 15:45:09 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								fdf7c42181 
								
							 
						 
						
							
							
								
								Fix segfault in AST simplify  
							
							... 
							
							
							
							(as proposed by Dan Gisselquist)
Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-12-18 17:49:38 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								3d671630e2 
								
							 
						 
						
							
							
								
								Improve src tagging (using names and attrs) of cells and wires in verific front-end  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-12-18 16:01:22 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								4effb38e6d 
								
							 
						 
						
							
							
								
								read_ilang: allow slicing sigspecs.  
							
							
							
						 
						
							2018-12-16 17:53:26 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Sylvain Munaut 
								
							 
						 
						
							
							
							
							
								
							
							
								58fb2ac818 
								
							 
						 
						
							
							
								
								verilog_parser: Properly handle recursion when processing attributes  
							
							... 
							
							
							
							Fixes  #737 
Signed-off-by: Sylvain Munaut <tnt@246tNt.com> 
						
							2018-12-14 12:48:00 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								910d94b212 
								
							 
						 
						
							
							
								
								Verific updates  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-12-06 07:21:50 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Sylvain Munaut 
								
							 
						 
						
							
							
							
							
								
							
							
								86ce43999e 
								
							 
						 
						
							
							
								
								Make return value of $clog2 signed  
							
							... 
							
							
							
							As per Verilog 2005 - 17.11.1.
Fixes  #708 
Signed-off-by: Sylvain Munaut <tnt@246tNt.com> 
							
						 
						
							2018-11-24 18:49:23 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								5387ccb041 
								
							 
						 
						
							
							
								
								Set Verific flag vhdl_support_variable_slice=1  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-11-09 21:03:23 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								719e29404a 
								
							 
						 
						
							
							
								
								Allow square brackets in liberty identifiers  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-11-05 12:33:33 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								36ea98385f 
								
							 
						 
						
							
							
								
								Add warning for SV "restrict" without "property"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-11-04 15:57:17 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								64e0582c29 
								
							 
						 
						
							
							
								
								Various indenting fixes in AST front-end (mostly space vs tab issues)  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-11-04 10:19:32 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									ZipCPU 
								
							 
						 
						
							
							
							
							
								
							
							
								39f891aebc 
								
							 
						 
						
							
							
								
								Make  and  dependent upon LSB only  
							
							
							
						 
						
							2018-11-03 13:39:32 -04:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								d86ea6badd 
								
							 
						 
						
							
							
								
								Do not generate "reg assigned in a continuous assignment" warnings for "rand reg"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-11-01 15:25:24 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								5ab58d4930 
								
							 
						 
						
							
							
								
								Fix minor typo in error message  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-10-25 13:20:00 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								6cd5b8b76b 
								
							 
						 
						
							
							
								
								Merge pull request  #679  from udif/pr_syntax_error  
							
							... 
							
							
							
							More meaningful SystemVerilog/Verilog parser error messages 
							
						 
						
							2018-10-25 13:18:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								536ae16c3a 
								
							 
						 
						
							
							
								
								Rename the generic "Syntax error" message from the Verilog/SystemVerilog parser into unique,  
							
							... 
							
							
							
							meaningful info on the error.
Also add 13 compilation examples that triggers each of these messages. 
							
						 
						
							2018-10-25 02:37:56 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								23b69ca32b 
								
							 
						 
						
							
							
								
								Improve read_verilog range out of bounds warning  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-10-20 23:48:53 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								436e3c0a7c 
								
							 
						 
						
							
							
								
								Refactor code to avoid code duplication + added comments  
							
							
							
						 
						
							2018-10-20 16:06:48 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								397dfccb30 
								
							 
						 
						
							
							
								
								Support for SystemVerilog interfaces as a port in the top level module + test case  
							
							
							
						 
						
							2018-10-20 11:58:25 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								d9a4381012 
								
							 
						 
						
							
							
								
								Fixed memory leak  
							
							
							
						 
						
							2018-10-20 11:57:39 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								f24bc1ed0a 
								
							 
						 
						
							
							
								
								Merge pull request  #659  from rubund/sv_interfaces  
							
							... 
							
							
							
							Support for SystemVerilog interfaces and modports 
							
						 
						
							2018-10-18 10:58:47 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								93d99559ef 
								
							 
						 
						
							
							
								
								Merge pull request  #664  from tklam/ignore-verilog-protect  
							
							... 
							
							
							
							Ignore protect endprotect 
							
						 
						
							2018-10-18 10:52:07 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								6ca493b88c 
								
							 
						 
						
							
							
								
								Minor code cleanups in liberty front-end  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-10-17 12:23:36 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								8395c18cb5 
								
							 
						 
						
							
							
								
								Merge pull request  #660  from tklam/parse-liberty-detect-ff-latch  
							
							... 
							
							
							
							Handling ff/latch in liberty files 
							
						 
						
							2018-10-17 12:21:17 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								38dbb44fa0 
								
							 
						 
						
							
							
								
								Merge pull request  #638  from udif/pr_reg_wire_error  
							
							... 
							
							
							
							Fix issue #630  
							
						 
						
							2018-10-17 12:13:18 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									argama 
								
							 
						 
						
							
							
							
							
								
							
							
								097da32e1a 
								
							 
						 
						
							
							
								
								ignore protect endprotect  
							
							
							
						 
						
							2018-10-16 21:33:37 +08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								736105b046 
								
							 
						 
						
							
							
								
								Handle FIXME for modport members without type directly in front  
							
							
							
						 
						
							2018-10-13 20:50:33 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								c50afc4246 
								
							 
						 
						
							
							
								
								Documentation improvements etc.  
							
							... 
							
							
							
							- Mention new feature in the SystemVerilog section in the README file
- Commented changes much better
- Rename a few signals to make it clearer
- Prevent warning for unused signals in an easier way
- Add myself as copyright holder to 2 files
- Fix one potential memory leak (delete 'wire' if not in modport) 
							
						 
						
							2018-10-13 20:34:44 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									argama 
								
							 
						 
						
							
							
							
							
								
							
							
								455638e00d 
								
							 
						 
						
							
							
								
								detect ff/latch before processing other nodes  
							
							
							
						 
						
							2018-10-14 01:42:48 +08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								a36d1701dd 
								
							 
						 
						
							
							
								
								Fix build error with clang  
							
							
							
						 
						
							2018-10-12 22:14:49 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								458a94059e 
								
							 
						 
						
							
							
								
								Support for 'modports' for System Verilog interfaces  
							
							
							
						 
						
							2018-10-12 21:11:48 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								75009ada3c 
								
							 
						 
						
							
							
								
								Synthesis support for SystemVerilog interfaces  
							
							... 
							
							
							
							This time doing the changes mostly in AST before RTLIL generation 
							
						 
						
							2018-10-12 21:11:36 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								9850de405a 
								
							 
						 
						
							
							
								
								Improve Verific importer blackbox handling  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-10-07 19:48:55 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								4b0448fc2c 
								
							 
						 
						
							
							
								
								Fix compiler warning in verific.cc  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-10-05 09:26:10 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Tom Verbeure 
								
							 
						 
						
							
							
							
							
								
							
							
								cb214fc01d 
								
							 
						 
						
							
							
								
								Fix for issue 594.  
							
							
							
						 
						
							2018-10-02 07:44:23 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Gisselquist 
								
							 
						 
						
							
							
							
							
								
							
							
								62424ef3de 
								
							 
						 
						
							
							
								
								Add read_verilog $changed support  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-10-01 19:41:35 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								4d2917447c 
								
							 
						 
						
							
							
								
								Merge branch 'yosys-0.8-rc' of github.com:YosysHQ/yosys  
							
							
							
						 
						
							2018-09-30 18:44:07 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								9f9fe94b35 
								
							 
						 
						
							
							
								
								Fix handling of $past 2nd argument in read_verilog  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-09-30 18:43:35 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								80a07652f2 
								
							 
						 
						
							
							
								
								Fixed issue  #630  by fixing a minor typo in the previous commit  
							
							... 
							
							
							
							(as well as a non critical minor code optimization) 
							
						 
						
							2018-09-25 00:32:57 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								8fde05dfa5 
								
							 
						 
						
							
							
								
								Add "read_verilog -noassert -noassume -assert-assumes"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-09-24 20:51:16 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								eb452ffb28 
								
							 
						 
						
							
							
								
								Added support for ommited "parameter" in Verilog-2001 style parameter decl in SV mode  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-09-23 10:32:54 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								c693f595c5 
								
							 
						 
						
							
							
								
								Merge branch 'master' into pr_reg_wire_error  
							
							
							
						 
						
							2018-09-18 01:27:01 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								f6fe73b31f 
								
							 
						 
						
							
							
								
								Fixed remaining cases where we check fo wire reg/wire incorrect assignments  
							
							... 
							
							
							
							on Yosys-generated assignments.
In this case, offending code was:
module top(input in, output out);
function func;
  input arg;
  func = arg;
endfunction
assign out = func(in);
endmodule 
							
						 
						
							2018-09-18 01:23:40 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								5d9d22f66d 
								
							 
						 
						
							
							
								
								Add "verific -L <int>" option  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-09-04 20:06:10 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								ddc1761f1a 
								
							 
						 
						
							
							
								
								Add "make coverage"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-08-27 14:22:21 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								4d269f9b25 
								
							 
						 
						
							
							
								
								Merge pull request  #610  from udif/udif_specify_round2  
							
							... 
							
							
							
							More specify/endspecify fixes 
							
						 
						
							2018-08-23 14:43:25 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								042b3074f8 
								
							 
						 
						
							
							
								
								Added -no_dump_ptr flag for AST dump options in 'read_verilog'  
							
							... 
							
							
							
							This option disables the memory pointer display.
This is useful when diff'ing different dumps because otherwise the node pointers
makes every diff line different when the AST content is the same. 
							
						 
						
							2018-08-23 15:26:02 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								408077769f 
								
							 
						 
						
							
							
								
								Add "verific -work" help message  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-08-22 17:22:24 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								4b02ee9162 
								
							 
						 
						
							
							
								
								Add Verific -work parameter  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-08-22 13:30:22 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								fbfc677df3 
								
							 
						 
						
							
							
								
								Fixed all known specify/endspecify issues, without breaking 'make test'.  
							
							... 
							
							
							
							Some the of parser fixes may look strange but they were needed to avoid shift/reduce conflicts,
due to the explicit parentheses in path_delay_value, and the mintypmax values without parentheses 
							
						 
						
							2018-08-20 17:27:45 +03:00