Miodrag Milanovic
								
							 
						 | 
						
							
							
							
							
								
							
							
								e9c5f1b346
								
							
						 | 
						
							
							
								
								Fix formatting for msys2 mingw build using GetSize
							
							
							
							
							
						 | 
						
							2019-08-02 16:55:14 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								760819e10d
								
							
						 | 
						
							
							
								
								synth_xilinx -arch -> -family, consistent with older synth_intel
							
							
							
							
							
						 | 
						
							2019-06-27 07:24:47 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
								
								
							
							
							
								
							
							
								bb4ae8bc66
								
							
						 | 
						
							
							
								
								Merge pull request #1138 from YosysHQ/koriakin/xc7nocarrymux
							
							
							
							
							
							
							
							synth_xilinx: Add -nocarry and -nowidelut options 
							
						 | 
						
							2019-06-27 06:04:56 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								6db181471e
								
							
						 | 
						
							
							
								
								Grrr
							
							
							
							
							
						 | 
						
							2019-06-26 10:47:03 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								138989e1a3
								
							
						 | 
						
							
							
								
								Fix spacing
							
							
							
							
							
						 | 
						
							2019-06-26 10:09:18 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								cb722e7b58
								
							
						 | 
						
							
							
								
								Oops. Actually use nocarry flag as spotted by @koriakin
							
							
							
							
							
						 | 
						
							2019-06-26 10:06:33 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Miodrag Milanovic
								
							 
						 | 
						
							
							
							
							
								
							
							
								ea0b6258ab
								
							
						 | 
						
							
							
								
								Simulation model verilog fix
							
							
							
							
							
						 | 
						
							2019-06-26 18:34:34 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								4ce329aefd
								
							
						 | 
						
							
							
								
								synth_ecp5 rename -nomux to -nowidelut, but preserve former
							
							
							
							
							
						 | 
						
							2019-06-26 09:33:48 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								7389b043c0
								
							
						 | 
						
							
							
								
								Merge branch 'xc7nocarrymux' of https://github.com/koriakin/yosys into koriakin/xc7nocarrymux
							
							
							
							
							
						 | 
						
							2019-06-26 09:33:38 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									whitequark
								
							 
						 | 
						
							
							
							
							
								
							
							
								3d4102cfa4
								
							
						 | 
						
							
							
								
								Add more ECP5 Diamond flip-flops.
							
							
							
							
							
							
							
							This includes all I/O registers, and a few more regular FFs where it
was convenient. 
							
						 | 
						
							2019-06-26 01:57:29 +00:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								efd04880db
								
							
						 | 
						
							
							
								
								Add RAM32X1D support
							
							
							
							
							
						 | 
						
							2019-06-24 16:16:50 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									David Shah
								
							 
						 | 
						
							
							
							
							
								
							
							
								a0d3d2bb41
								
							
						 | 
						
							
							
								
								ecp5: Improve mapping of $alu when BI is used
							
							
							
							
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 | 
						
							2019-06-21 09:45:11 +01:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									acw1251
								
							 
						 | 
						
							
							
							
							
								
							
							
								ce29ede801
								
							
						 | 
						
							
							
								
								Fixed small typo in ice40_unlut help summary
							
							
							
							
							
						 | 
						
							2019-06-19 16:39:46 -04:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									acw1251
								
							 
						 | 
						
							
							
							
							
								
							
							
								0d888ee7ed
								
							
						 | 
						
							
							
								
								Fixed the help summary line for a few commands
							
							
							
							
							
						 | 
						
							2019-06-19 15:27:04 -04:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Simon Schubert
								
							 
						 | 
						
							
							
							
							
								
							
							
								abf90b0403
								
							
						 | 
						
							
							
								
								ice40/cells_sim.v: Add support for RGB_DRV/LED_DRV_CUR for u4k
							
							
							
							
							
						 | 
						
							2019-06-10 11:49:08 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									David Shah
								
							 
						 | 
						
							
							
								
								
							
							
							
								
							
							
								30cedaca10
								
							
						 | 
						
							
							
								
								Merge pull request #1073 from whitequark/ecp5-diamond-iob
							
							
							
							
							
							
							
							ECP5: implement most Diamond I/O buffer primitives 
							
						 | 
						
							2019-06-06 11:22:49 +01:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									whitequark
								
							 
						 | 
						
							
							
							
							
								
							
							
								f3a26730b6
								
							
						 | 
						
							
							
								
								ECP5: implement all Diamond I/O buffer primitives.
							
							
							
							
							
						 | 
						
							2019-06-06 10:18:33 +00:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								02973474df
								
							
						 | 
						
							
							
								
								Remove extra newline
							
							
							
							
							
						 | 
						
							2019-06-03 20:04:47 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								0ad50332d9
								
							
						 | 
						
							
							
								
								Execute techmap and arith_map simultaneously
							
							
							
							
							
						 | 
						
							2019-06-03 19:36:09 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								99a3fee8f4
								
							
						 | 
						
							
							
								
								Add "min bits" and "min wports" to xilinx dram rules
							
							
							
							
							
						 | 
						
							2019-05-23 11:32:28 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
							
							
								
							
							
								c4b8575f43
								
							
						 | 
						
							
							
								
								Add "wreduce -keepdc", fixes #1016
							
							
							
							
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 | 
						
							2019-05-20 15:36:13 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Sylvain Munaut
								
							 
						 | 
						
							
							
							
							
								
							
							
								4f9183d107
								
							
						 | 
						
							
							
								
								ice40/cells_sim.v: Add support for TRIM input to SB_HFOSC
							
							
							
							
							
							
							
							Signed-off-by: Sylvain Munaut <tnt@246tNt.com> 
							
						 | 
						
							2019-05-13 12:51:06 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
							
							
								
							
							
								04ef222cfb
								
							
						 | 
						
							
							
								
								Add "stat -tech xilinx"
							
							
							
							
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 | 
						
							2019-05-11 09:24:52 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Ben Widawsky
								
							 
						 | 
						
							
							
							
							
								
							
							
								05d8cc4567
								
							
						 | 
						
							
							
								
								Fix formatting for synth_intel.cc
							
							
							
							
							
							
							
							This is realized through the recently added .clang-format file.
Signed-off-by: Ben Widawsky <ben@bwidawsk.net> 
							
						 | 
						
							2019-05-09 08:40:05 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
							
							
								
							
							
								09467bb9a3
								
							
						 | 
						
							
							
								
								Add "synth_xilinx -arch"
							
							
							
							
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 | 
						
							2019-05-07 15:04:36 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								d9c4644e88
								
							
						 | 
						
							
							
								
								Merge remote-tracking branch 'origin/master' into clifford/specify
							
							
							
							
							
						 | 
						
							2019-05-03 15:05:57 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								c2e29ab809
								
							
						 | 
						
							
							
								
								Rename cells_map.v to prevent clash with ff_map.v
							
							
							
							
							
						 | 
						
							2019-05-03 14:40:32 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
								
								
							
							
							
								
							
							
								373b236108
								
							
						 | 
						
							
							
								
								Merge pull request #969 from YosysHQ/clifford/pmgenstuff
							
							
							
							
							
							
							
							Improve pmgen, Add "peepopt" pass with shift-mul pattern 
							
						 | 
						
							2019-05-03 20:39:50 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								d394b9301b
								
							
						 | 
						
							
							
								
								Back to passing all xc7srl tests!
							
							
							
							
							
						 | 
						
							2019-05-01 18:23:21 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								31ff0d8ef5
								
							
						 | 
						
							
							
								
								Merge remote-tracking branch 'origin/master' into eddie/synth_xilinx_fine
							
							
							
							
							
						 | 
						
							2019-05-01 18:09:38 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
								
								
							
							
							
								
							
							
								a27eeff573
								
							
						 | 
						
							
							
								
								Merge pull request #966 from YosysHQ/clifford/fix956
							
							
							
							
							
							
							
							Drive dangling wires with init attr with their init value 
							
						 | 
						
							2019-04-30 18:08:41 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
							
							
								
							
							
								9d117eba9d
								
							
						 | 
						
							
							
								
								Add handling of init attributes in "opt_expr -undriven"
							
							
							
							
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 | 
						
							2019-04-30 14:46:12 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Marcin Kościelnicki
								
							 
						 | 
						
							
							
							
							
								
							
							
								98e5a625c4
								
							
						 | 
						
							
							
								
								synth_xilinx: Add -nocarry and -nomux options.
							
							
							
							
							
						 | 
						
							2019-04-30 12:54:21 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
							
							
								
							
							
								d2d402e625
								
							
						 | 
						
							
							
								
								Run "peepopt" in generic "synth" pass and "synth_ice40"
							
							
							
							
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 | 
						
							2019-04-30 08:10:37 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								e97178a888
								
							
						 | 
						
							
							
								
								WIP
							
							
							
							
							
						 | 
						
							2019-04-28 12:51:00 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								af840bbc63
								
							
						 | 
						
							
							
								
								Move neg-pol to pos-pol mapping from ff_map to cells_map.v
							
							
							
							
							
						 | 
						
							2019-04-28 12:36:04 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								d855683917
								
							
						 | 
						
							
							
								
								Revert synth_xilinx 'fine' label more to how it used to be...
							
							
							
							
							
						 | 
						
							2019-04-26 16:53:16 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								ea0e0722bb
								
							
						 | 
						
							
							
								
								Where did this check come from!?!
							
							
							
							
							
						 | 
						
							2019-04-26 15:35:34 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								727eec04c5
								
							
						 | 
						
							
							
								
								Refactor synth_xilinx to auto-generate doc
							
							
							
							
							
						 | 
						
							2019-04-26 14:32:18 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								1ea6d7920f
								
							
						 | 
						
							
							
								
								Cleanup ice40
							
							
							
							
							
						 | 
						
							2019-04-26 14:31:59 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
							
							
								
							
							
								64925b4e8f
								
							
						 | 
						
							
							
								
								Improve $specrule interface
							
							
							
							
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 | 
						
							2019-04-23 22:57:10 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
							
							
								
							
							
								4575e4ad86
								
							
						 | 
						
							
							
								
								Improve $specrule interface
							
							
							
							
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 | 
						
							2019-04-23 22:18:04 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
							
							
								
							
							
								71c38d9de5
								
							
						 | 
						
							
							
								
								Add $specrule cells for $setup/$hold/$skew specify rules
							
							
							
							
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 | 
						
							2019-04-23 21:36:59 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
							
							
								
							
							
								e807e88b60
								
							
						 | 
						
							
							
								
								Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nomenclature
							
							
							
							
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 | 
						
							2019-04-23 21:36:59 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
							
							
								
							
							
								a7e11261bd
								
							
						 | 
						
							
							
								
								Add $specify2 and $specify3 cells to simlib
							
							
							
							
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 | 
						
							2019-04-23 21:36:59 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								ec88129a5c
								
							
						 | 
						
							
							
								
								Update help message
							
							
							
							
							
						 | 
						
							2019-04-22 11:38:23 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								0e76718720
								
							
						 | 
						
							
							
								
								Move 'shregmap -tech xilinx' into map_cells
							
							
							
							
							
						 | 
						
							2019-04-22 10:45:39 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Eddie Hung
								
							 
						 | 
						
							
							
							
							
								
							
							
								e300b1922c
								
							
						 | 
						
							
							
								
								Merge remote-tracking branch 'origin/master' into xc7srl
							
							
							
							
							
						 | 
						
							2019-04-22 10:36:27 -07:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
								
								
							
							
							
								
							
							
								0e7901e45c
								
							
						 | 
						
							
							
								
								Merge pull request #941 from Wren6991/sim_lib_io_clke
							
							
							
							
							
							
							
							ice40 cells_sim.v: update clock enable behaviour based on hardware experiments 
							
						 | 
						
							2019-04-22 09:11:13 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 | 
					
				
					
						
							
								
								
									 
									Clifford Wolf
								
							 
						 | 
						
							
							
							
							
								
							
							
								913659d644
								
							
						 | 
						
							
							
								
								Merge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-master
							
							
							
							
							
						 | 
						
							2019-04-22 09:09:27 +02:00 | 
						
						
							
							
							
							
								
							
							
							
								
							
							
						 |