Jeff Wang 
								
							 
						 
						
							
							
							
							
								
							
							
								5ddf84d430 
								
							 
						 
						
							
							
								
								allow enum typedefs  
							
							
							
						 
						
							2020-01-16 17:17:42 -05:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Jeff Wang 
								
							 
						 
						
							
							
							
							
								
							
							
								16ea4ea61a 
								
							 
						 
						
							
							
								
								partial rebase of PeterCrozier's enum work onto current master  
							
							... 
							
							
							
							I tried to keep only the enum-related changes, and minimize the diff. (The
original commit also had a lot of work done to get typedefs working, but yosys
has diverged quite a bit since the 2018-03-09 commit, with a new typedef
implementation.) I did not include the import related changes either.
Original commit:
"Initial implementation of enum, typedef, import.  Still a WIP."
881833aa73 
							
						 
						
							2020-01-16 13:51:47 -05:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Rodrigo Alejandro Melo 
								
							 
						 
						
							
							
							
							
								
							
							
								e9dc2759c4 
								
							 
						 
						
							
							
								
								Fixed some missing "verilog_" in documentation  
							
							
							
						 
						
							2019-12-13 10:17:05 -03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								e97e33d00d 
								
							 
						 
						
							
							
								
								kernel: require \B_SIGNED=0 on $shl, $sshl, $shr, $sshr.  
							
							... 
							
							
							
							Before this commit, these cells would accept any \B_SIGNED and in
case of \B_SIGNED=1, would still treat the \B input as unsigned.
Also fix the Verilog frontend to never emit such constructs. 
							
						 
						
							2019-12-04 11:59:36 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								9e4801cca7 
								
							 
						 
						
							
							
								
								sv: Correct parsing of always_comb, always_ff and always_latch  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-11-21 20:27:19 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								65f197e28f 
								
							 
						 
						
							
							
								
								Add check for valid macro names in macro definitions  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-11-07 13:30:03 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								5025aab8c9 
								
							 
						 
						
							
							
								
								Add "verilog_defines -list" and "verilog_defines -reset"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-10-21 13:35:56 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								e84cedfae4 
								
							 
						 
						
							
							
								
								Use "(id)" instead of "id" for types as temporary hack  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-10-14 05:24:31 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								8cc1bee33c 
								
							 
						 
						
							
							
								
								sv: Disambiguate interface ports  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-10-03 09:54:45 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								c0bb47beca 
								
							 
						 
						
							
							
								
								sv: Fix memories of typedefs  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-10-03 09:54:14 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								497faf4ec0 
								
							 
						 
						
							
							
								
								sv: Add %expect  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-10-03 09:54:14 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								30d2326030 
								
							 
						 
						
							
							
								
								sv: Add support for memory typedefs  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-10-03 09:54:14 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								c962951612 
								
							 
						 
						
							
							
								
								sv: Fix typedef parameters  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-10-03 09:54:14 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								f6b5e47e40 
								
							 
						 
						
							
							
								
								sv: Switch parser to glr, prep for typedef  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-10-03 09:54:14 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								a67d63714b 
								
							 
						 
						
							
							
								
								Fix handling of z_digit "?" and fix optimization of cmp with "z"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-09-13 13:39:39 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								855e6a9b91 
								
							 
						 
						
							
							
								
								Fix lexing of integer literals without radix  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-09-13 10:19:58 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								7eb593829f 
								
							 
						 
						
							
							
								
								Fix lexing of integer literals,  fixes   #1364  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-09-12 09:43:32 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								6d77236f38 
								
							 
						 
						
							
							
								
								substr() -> compare()  
							
							
							
						 
						
							2019-08-07 12:20:08 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								7164996921 
								
							 
						 
						
							
							
								
								RTLIL::S{0,1} -> State::S{0,1}  
							
							
							
						 
						
							2019-08-07 11:12:38 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								92694ea3a9 
								
							 
						 
						
							
							
								
								verilog_lexer: Increase YY_BUF_SIZE to 65536  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-07-26 13:35:39 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								e38b2ac648 
								
							 
						 
						
							
							
								
								Merge pull request  #1147  from YosysHQ/clifford/fix1144  
							
							... 
							
							
							
							Improve specify dummy parser 
							
						 
						
							2019-07-03 12:30:37 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								ba36567908 
								
							 
						 
						
							
							
								
								Some cleanups in "ignore specify parser"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-07-03 11:22:10 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								d206eca03b 
								
							 
						 
						
							
							
								
								Fix read_verilog assert/assume/etc on default case label,  fixes   YosysHQ/SymbiYosys#53  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-07-02 11:36:26 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								af74409749 
								
							 
						 
						
							
							
								
								Improve specify dummy parser,  fixes   #1144  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-06-28 10:21:16 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								f6053b8810 
								
							 
						 
						
							
							
								
								Fix segfault on failed VERILOG_FRONTEND::const2ast,  closes   #1131  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-06-26 11:09:43 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								78e7a6f6f2 
								
							 
						 
						
							
							
								
								Merge pull request  #1119  from YosysHQ/eddie/fix1118  
							
							... 
							
							
							
							Make genvar a signed type 
							
						 
						
							2019-06-21 10:13:13 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								c27ab609fa 
								
							 
						 
						
							
							
								
								Make genvar a signed type  
							
							
							
						 
						
							2019-06-20 16:04:12 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								20119ee50e 
								
							 
						 
						
							
							
								
								Maintain "is_unsized" state of constants  
							
							
							
						 
						
							2019-06-20 12:43:39 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								2428fb7dc2 
								
							 
						 
						
							
							
								
								Merge branch 'unpacked_arrays' of  https://github.com/towoe/yosys-sv  into towoe-unpacked_arrays  
							
							
							
						 
						
							2019-06-20 12:03:00 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								ec4565009a 
								
							 
						 
						
							
							
								
								Add "read_verilog -pwires" feature,  closes   #1106  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-06-19 14:38:50 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Tobias Wölfel 
								
							 
						 
						
							
							
							
							
								
							
							
								8b8af10f5e 
								
							 
						 
						
							
							
								
								Unpacked array declaration using size  
							
							... 
							
							
							
							Allows fixed-sized array dimension specified by a single number.
This commit is based on the work from PeterCrozier
https://github.com/YosysHQ/yosys/pull/560 .
But is split out of the original work. 
							
						 
						
							2019-06-19 12:47:48 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								8d0cd529c9 
								
							 
						 
						
							
							
								
								Add defaultvalue attribute  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-06-19 11:37:11 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								6d64e242ba 
								
							 
						 
						
							
							
								
								Fix handling of "logic" variables with initial value  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-06-19 11:25:11 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								4b56f6646d 
								
							 
						 
						
							
							
								
								Fixed brojen $error()/$info/$warning() on non-generate blocks  
							
							... 
							
							
							
							(within always/initial blocks) 
							
						 
						
							2019-06-11 02:52:06 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								a3bbc5365b 
								
							 
						 
						
							
							
								
								Merge branch 'pr_elab_sys_tasks' of  https://github.com/udif/yosys  into clifford/pr983  
							
							
							
						 
						
							2019-06-07 12:08:42 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								a0b57f2a6f 
								
							 
						 
						
							
							
								
								Cleanup tux3-implicit_named_connection  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-06-07 11:46:16 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								b637b3109d 
								
							 
						 
						
							
							
								
								Merge branch 'implicit_named_connection' of  https://github.com/tux3/yosys  into tux3-implicit_named_connection  
							
							
							
						 
						
							2019-06-07 11:41:54 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									tux3 
								
							 
						 
						
							
							
							
							
								
							
							
								88f5977093 
								
							 
						 
						
							
							
								
								SystemVerilog support for implicit named port connections  
							
							... 
							
							
							
							This is the `foo foo(.port1, .port2);` SystemVerilog syntax
introduced in IEEE1800-2005. 
							
						 
						
							2019-06-06 18:07:49 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Maciej Kurc 
								
							 
						 
						
							
							
							
							
								
							
							
								03e0d3a17c 
								
							 
						 
						
							
							
								
								Fixed memory leak.  
							
							... 
							
							
							
							Signed-off-by: Maciej Kurc <mkurc@antmicro.com> 
							
						 
						
							2019-06-05 10:42:43 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Maciej Kurc 
								
							 
						 
						
							
							
							
							
								
							
							
								a6cadf6318 
								
							 
						 
						
							
							
								
								Added support for parsing attributes on port connections.  
							
							... 
							
							
							
							Signed-off-by: Maciej Kurc <mkurc@antmicro.com> 
							
						 
						
							2019-05-31 14:58:43 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Stefan Biereigel 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								816082d5a1 
								
							 
						 
						
							
							
								
								Merge branch 'master' into wandwor  
							
							
							
						 
						
							2019-05-27 19:07:46 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanovic 
								
							 
						 
						
							
							
							
							
								
							
							
								34417ce55f 
								
							 
						 
						
							
							
								
								Added support for unsized constants,  fixes   #1022  
							
							... 
							
							
							
							Includes work from @sumit0190 and @AaronKel 
							
						 
						
							2019-05-27 11:42:10 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Stefan Biereigel 
								
							 
						 
						
							
							
							
							
								
							
							
								fd003e0e97 
								
							 
						 
						
							
							
								
								fix indentation across files  
							
							
							
						 
						
							2019-05-23 13:57:27 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Stefan Biereigel 
								
							 
						 
						
							
							
							
							
								
							
							
								9df04d7e75 
								
							 
						 
						
							
							
								
								make lexer/parser aware of wand/wor net types  
							
							
							
						 
						
							2019-05-23 13:57:27 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Kaj Tuomi 
								
							 
						 
						
							
							
							
							
								
							
							
								48ddbe52fb 
								
							 
						 
						
							
							
								
								Read bigger Verilog files.  
							
							... 
							
							
							
							Hit parser limit with 3M gate design. This commit fix it. 
							
						 
						
							2019-05-18 14:20:30 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								b6345b111d 
								
							 
						 
						
							
							
								
								Merge pull request  #1013  from antmicro/parameter_attributes  
							
							... 
							
							
							
							Support for attributes on parameters and localparams for Verilog frontend 
							
						 
						
							2019-05-16 14:21:18 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Maciej Kurc 
								
							 
						 
						
							
							
							
							
								
							
							
								ce4a0954bc 
								
							 
						 
						
							
							
								
								Added support for parsing attributes on parameters in Verilog frontent. Content of those attributes is ignored.  
							
							... 
							
							
							
							Signed-off-by: Maciej Kurc <mkurc@antmicro.com> 
							
						 
						
							2019-05-16 12:44:16 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Henner Zeller 
								
							 
						 
						
							
							
							
							
								
							
							
								8eb2798776 
								
							 
						 
						
							
							
								
								Make the generated *.tab.hh include all the headers needed to define the union.  
							
							
							
						 
						
							2019-05-14 21:07:26 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								752553d8e9 
								
							 
						 
						
							
							
								
								Merge pull request  #946  from YosysHQ/clifford/specify  
							
							... 
							
							
							
							Add specify parser 
							
						 
						
							2019-05-06 20:57:15 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								c7f2e93024 
								
							 
						 
						
							
							
								
								Merge branch 'master' of github.com:YosysHQ/yosys into clifford/specify  
							
							
							
						 
						
							2019-05-06 11:46:10 +02:00