whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								7191dd16f9 
								
							 
						 
						
							
							
								
								Use C++11 final/override keywords.  
							
							
							
						 
						
							2020-06-18 23:34:52 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Claire Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								7ad0c49905 
								
							 
						 
						
							
							
								
								Add latch detection for use_case_method in part-select write,  fixes   #2040  
							
							... 
							
							
							
							Signed-off-by: Claire Wolf <claire@symbioticeda.com> 
							
						 
						
							2020-06-04 23:25:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Peter Crozier 
								
							 
						 
						
							
							
							
							
								
							
							
								f482c9c016 
								
							 
						 
						
							
							
								
								Generalise structs and add support for packed unions.  
							
							
							
						 
						
							2020-05-12 14:25:33 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Peter Crozier 
								
							 
						 
						
							
							
							
							
								
							
							
								0b6b47ca67 
								
							 
						 
						
							
							
								
								Implement SV structs.  
							
							
							
						 
						
							2020-05-08 14:40:49 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Claire Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								589ed2d970 
								
							 
						 
						
							
							
								
								Add AST_SELFSZ and improve handling of bit slices  
							
							... 
							
							
							
							Signed-off-by: Claire Wolf <claire@symbioticeda.com> 
							
						 
						
							2020-05-02 11:21:01 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Claire Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								bbbce0d1c5 
								
							 
						 
						
							
							
								
								Add "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed offset,  fixes   #1990  
							
							... 
							
							
							
							Signed-off-by: Claire Wolf <claire@symbioticeda.com> 
							
						 
						
							2020-05-02 11:21:01 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Claire Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								e1fb12a4b9 
								
							 
						 
						
							
							
								
								Add LookaheadRewriter for proper bitselwrite support  
							
							... 
							
							
							
							Signed-off-by: Claire Wolf <claire@symbioticeda.com> 
							
						 
						
							2020-04-16 12:11:07 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								4555b5b819 
								
							 
						 
						
							
							
								
								kernel: more pass by const ref, more speedups  
							
							
							
						 
						
							2020-03-18 11:21:53 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Claire Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								b597f85b13 
								
							 
						 
						
							
							
								
								Merge pull request  #1718  from boqwxp/precise_locations  
							
							... 
							
							
							
							Closes  #1717 . Add more precise Verilog source location information to AST and RTLIL nodes. 
						
							2020-03-03 08:38:32 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								e79376d6cb 
								
							 
						 
						
							
							
								
								ast: quiet down when deriving blackbox modules  
							
							
							
						 
						
							2020-02-27 10:17:29 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Alberto Gonzalez 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								f0afd65035 
								
							 
						 
						
							
							
								
								Closes   #1717 . Add more precise Verilog source location information to AST and RTLIL nodes.  
							
							
							
						 
						
							2020-02-23 07:22:26 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Claire Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								cd044a2bb6 
								
							 
						 
						
							
							
								
								Merge pull request  #1642  from jjj11x/jjj11x/sv-enum  
							
							... 
							
							
							
							Enum support 
							
						 
						
							2020-02-20 18:17:25 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								22c967e35e 
								
							 
						 
						
							
							
								
								ast: Add support for $sformatf system function  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2020-01-19 21:20:17 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Jeff Wang 
								
							 
						 
						
							
							
							
							
								
							
							
								16ea4ea61a 
								
							 
						 
						
							
							
								
								partial rebase of PeterCrozier's enum work onto current master  
							
							... 
							
							
							
							I tried to keep only the enum-related changes, and minimize the diff. (The
original commit also had a lot of work done to get typedefs working, but yosys
has diverged quite a bit since the 2018-03-09 commit, with a new typedef
implementation.) I did not include the import related changes either.
Original commit:
"Initial implementation of enum, typedef, import.  Still a WIP."
881833aa73 
							
						 
						
							2020-01-16 13:51:47 -05:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								e84cedfae4 
								
							 
						 
						
							
							
								
								Use "(id)" instead of "id" for types as temporary hack  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-10-14 05:24:31 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								f6b5e47e40 
								
							 
						 
						
							
							
								
								sv: Switch parser to glr, prep for typedef  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-10-03 09:54:14 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Eddie Hung 
								
							 
						 
						
							
							
							
							
								
							
							
								08b55a20e3 
								
							 
						 
						
							
							
								
								module->derive() to be lazy and not touch ast if already derived  
							
							
							
						 
						
							2019-09-30 14:11:01 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								8da0888bf6 
								
							 
						 
						
							
							
								
								Fix handling of read_verilog config in AstModule::reprocess_module(),  fixes   #1360  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-09-20 12:16:20 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								ec4565009a 
								
							 
						 
						
							
							
								
								Add "read_verilog -pwires" feature,  closes   #1106  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-06-19 14:38:50 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								211d85cfcc 
								
							 
						 
						
							
							
								
								Fixes and cleanups in AST_TECALL handling  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-06-07 12:41:09 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								a3bbc5365b 
								
							 
						 
						
							
							
								
								Merge branch 'pr_elab_sys_tasks' of  https://github.com/udif/yosys  into clifford/pr983  
							
							
							
						 
						
							2019-06-07 12:08:42 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Stefan Biereigel 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								816082d5a1 
								
							 
						 
						
							
							
								
								Merge branch 'master' into wandwor  
							
							
							
						 
						
							2019-05-27 19:07:46 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Stefan Biereigel 
								
							 
						 
						
							
							
							
							
								
							
							
								cd12f2ddcf 
								
							 
						 
						
							
							
								
								remove leftovers from ast data structures  
							
							
							
						 
						
							2019-05-27 18:01:44 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanovic 
								
							 
						 
						
							
							
							
							
								
							
							
								34417ce55f 
								
							 
						 
						
							
							
								
								Added support for unsized constants,  fixes   #1022  
							
							... 
							
							
							
							Includes work from @sumit0190 and @AaronKel 
							
						 
						
							2019-05-27 11:42:10 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Stefan Biereigel 
								
							 
						 
						
							
							
							
							
								
							
							
								fd003e0e97 
								
							 
						 
						
							
							
								
								fix indentation across files  
							
							
							
						 
						
							2019-05-23 13:57:27 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Stefan Biereigel 
								
							 
						 
						
							
							
							
							
								
							
							
								075a48d3fa 
								
							 
						 
						
							
							
								
								implementation for assignments working  
							
							
							
						 
						
							2019-05-23 13:57:27 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Stefan Biereigel 
								
							 
						 
						
							
							
							
							
								
							
							
								9df04d7e75 
								
							 
						 
						
							
							
								
								make lexer/parser aware of wand/wor net types  
							
							
							
						 
						
							2019-05-23 13:57:27 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								ac10e7d96d 
								
							 
						 
						
							
							
								
								Initial implementation of elaboration system tasks  
							
							... 
							
							
							
							(IEEE1800-2017 section 20.11)
This PR allows us to use $info/$warning/$error/$fatal **at elaboration time** within a generate block.
This is very useful to stop a synthesis of a parametrized block when an
illegal combination of parameters is chosen. 
							
						 
						
							2019-05-03 03:10:43 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								fb7f02be55 
								
							 
						 
						
							
							
								
								New behavior for front-end handling of whiteboxes  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-20 22:24:50 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								f4abc21d8a 
								
							 
						 
						
							
							
								
								Add "whitebox" attribute, add "read_verilog -wb"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-18 17:45:47 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								9b0e7af6d7 
								
							 
						 
						
							
							
								
								Improve read_verilog debug output capabilities  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-03-21 20:52:29 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								ae9286386d 
								
							 
						 
						
							
							
								
								Only run derive on blackbox modules when ports have dynamic size  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-03-02 12:36:46 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								7cfae2c52f 
								
							 
						 
						
							
							
								
								Use mem2reg on memories that only have constant-index write ports  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-03-01 13:35:09 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								436e3c0a7c 
								
							 
						 
						
							
							
								
								Refactor code to avoid code duplication + added comments  
							
							
							
						 
						
							2018-10-20 16:06:48 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								458a94059e 
								
							 
						 
						
							
							
								
								Support for 'modports' for System Verilog interfaces  
							
							
							
						 
						
							2018-10-12 21:11:48 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								75009ada3c 
								
							 
						 
						
							
							
								
								Synthesis support for SystemVerilog interfaces  
							
							... 
							
							
							
							This time doing the changes mostly in AST before RTLIL generation 
							
						 
						
							2018-10-12 21:11:36 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								042b3074f8 
								
							 
						 
						
							
							
								
								Added -no_dump_ptr flag for AST dump options in 'read_verilog'  
							
							... 
							
							
							
							This option disables the memory pointer display.
This is useful when diff'ing different dumps because otherwise the node pointers
makes every diff line different when the AST content is the same. 
							
						 
						
							2018-08-23 15:26:02 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								67b1026297 
								
							 
						 
						
							
							
								
								Merge pull request  #591  from hzeller/virtual-override  
							
							... 
							
							
							
							Consistent use of 'override' for virtual methods in derived classes. 
							
						 
						
							2018-08-15 14:05:38 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								3d27c1cc80 
								
							 
						 
						
							
							
								
								Merge pull request  #513  from udif/pr_reg_wire_error  
							
							... 
							
							
							
							Add error checking for reg/wire/logic misuse - PR now passes 'make test' (plus a new test) 
							
						 
						
							2018-08-15 13:35:41 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Henner Zeller 
								
							 
						 
						
							
							
							
							
								
							
							
								3aa4484a3c 
								
							 
						 
						
							
							
								
								Consistent use of 'override' for virtual methods in derived classes.  
							
							... 
							
							
							
							o Not all derived methods were marked 'override', but it is a great
  feature of C++11 that we should make use of.
o While at it: touched header files got a -*- c++ -*- for emacs to
  provide support for that language.
o use YS_OVERRIDE for all override keywords (though we should probably
  use the plain keyword going forward now that C++11 is established) 
							
						 
						
							2018-07-20 23:51:06 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								73d426bc87 
								
							 
						 
						
							
							
								
								Modified errors into warnings  
							
							... 
							
							
							
							No longer false warnings for memories and assertions 
							
						 
						
							2018-06-05 18:03:22 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								a572b49538 
								
							 
						 
						
							
							
								
								Replace -ignore_redef with -[no]overwrite  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-05-03 15:25:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								2b9c75f8e3 
								
							 
						 
						
							
							
								
								This PR should be the base for discussion, do not merge it yet!  
							
							... 
							
							
							
							It correctly detects reg/wire mix and incorrect use on blocking,nonblocking assignments within blocks and assign statements.
What it DOES'T do:
Detect registers connected to output ports of instances.
Where it FAILS:
memorty nonblocking assignments causes spurious (I assume??) errors on yosys-generated "_ADDR", "_DATA", "EN" signals.
You can test it with tests/simple/reg_wire_error.v (look inside for the comments to enable/disable specific lines) 
							
						 
						
							2018-03-11 23:09:34 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								c80315cea4 
								
							 
						 
						
							
							
								
								Bugfix in hierarchy handling of blackbox module ports  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-01-05 13:28:45 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								eb40278a16 
								
							 
						 
						
							
							
								
								Turned a few member functions into const, esp. dumpAst(), dumpVlog().  
							
							
							
						 
						
							2017-09-30 07:37:38 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								5f1d0b1024 
								
							 
						 
						
							
							
								
								Add $live and $fair cell types, add support for s_eventually keyword  
							
							
							
						 
						
							2017-02-25 10:36:39 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								3928482a3c 
								
							 
						 
						
							
							
								
								Add $cover cell type and SVA cover() support  
							
							
							
						 
						
							2017-02-04 14:14:26 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								97583ab729 
								
							 
						 
						
							
							
								
								Avoid creation of bogus initial blocks for assert/assume in always @*  
							
							
							
						 
						
							2016-09-06 17:34:42 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								eae390ae17 
								
							 
						 
						
							
							
								
								Removed $predict again  
							
							
							
						 
						
							2016-08-28 21:35:33 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								82a4a0230f 
								
							 
						 
						
							
							
								
								Another bugfix in mem2reg code  
							
							
							
						 
						
							2016-08-21 13:23:58 +02:00