Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								5b7fea5245 
								
							 
						 
						
							
							
								
								Add "noblackbox" attribute  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-21 11:40:09 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								fb7f02be55 
								
							 
						 
						
							
							
								
								New behavior for front-end handling of whiteboxes  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-20 22:24:50 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								f4abc21d8a 
								
							 
						 
						
							
							
								
								Add "whitebox" attribute, add "read_verilog -wb"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-18 17:45:47 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								da42f10765 
								
							 
						 
						
							
							
								
								Improve "read_verilog -dump_vlog[12]" handling of upto ranges  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-03-21 22:20:16 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								9b0e7af6d7 
								
							 
						 
						
							
							
								
								Improve read_verilog debug output capabilities  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-03-21 20:52:29 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									whitequark 
								
							 
						 
						
							
							
							
							
								
							
							
								efa278e232 
								
							 
						 
						
							
							
								
								Fix typographical and grammatical errors and inconsistencies.  
							
							... 
							
							
							
							The initial list of hits was generated with the codespell command
below, and each hit was evaluated and fixed manually while taking
context into consideration.
    DIRS="kernel/ frontends/ backends/ passes/ techlibs/"
    DIRS="${DIRS} libs/ezsat/ libs/subcircuit"
    codespell $DIRS -S *.o -L upto,iff,thru,synopsys,uint
More hits were found by looking through comments and strings manually. 
							
						 
						
							2019-01-02 13:12:17 +00:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								64e0582c29 
								
							 
						 
						
							
							
								
								Various indenting fixes in AST front-end (mostly space vs tab issues)  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-11-04 10:19:32 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								436e3c0a7c 
								
							 
						 
						
							
							
								
								Refactor code to avoid code duplication + added comments  
							
							
							
						 
						
							2018-10-20 16:06:48 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								397dfccb30 
								
							 
						 
						
							
							
								
								Support for SystemVerilog interfaces as a port in the top level module + test case  
							
							
							
						 
						
							2018-10-20 11:58:25 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								d9a4381012 
								
							 
						 
						
							
							
								
								Fixed memory leak  
							
							
							
						 
						
							2018-10-20 11:57:39 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								c50afc4246 
								
							 
						 
						
							
							
								
								Documentation improvements etc.  
							
							... 
							
							
							
							- Mention new feature in the SystemVerilog section in the README file
- Commented changes much better
- Rename a few signals to make it clearer
- Prevent warning for unused signals in an easier way
- Add myself as copyright holder to 2 files
- Fix one potential memory leak (delete 'wire' if not in modport) 
							
						 
						
							2018-10-13 20:34:44 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								a36d1701dd 
								
							 
						 
						
							
							
								
								Fix build error with clang  
							
							
							
						 
						
							2018-10-12 22:14:49 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								458a94059e 
								
							 
						 
						
							
							
								
								Support for 'modports' for System Verilog interfaces  
							
							
							
						 
						
							2018-10-12 21:11:48 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								75009ada3c 
								
							 
						 
						
							
							
								
								Synthesis support for SystemVerilog interfaces  
							
							... 
							
							
							
							This time doing the changes mostly in AST before RTLIL generation 
							
						 
						
							2018-10-12 21:11:36 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								042b3074f8 
								
							 
						 
						
							
							
								
								Added -no_dump_ptr flag for AST dump options in 'read_verilog'  
							
							... 
							
							
							
							This option disables the memory pointer display.
This is useful when diff'ing different dumps because otherwise the node pointers
makes every diff line different when the AST content is the same. 
							
						 
						
							2018-08-23 15:26:02 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								3d27c1cc80 
								
							 
						 
						
							
							
								
								Merge pull request  #513  from udif/pr_reg_wire_error  
							
							... 
							
							
							
							Add error checking for reg/wire/logic misuse - PR now passes 'make test' (plus a new test) 
							
						 
						
							2018-08-15 13:35:41 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Henner Zeller 
								
							 
						 
						
							
							
							
							
								
							
							
								68b5d0c3b1 
								
							 
						 
						
							
							
								
								Convert more log_error() to log_file_error() where possible.  
							
							... 
							
							
							
							Mostly statements that span over multiple lines and haven't been
caught with the previous conversion. 
							
						 
						
							2018-07-20 09:37:44 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								73d426bc87 
								
							 
						 
						
							
							
								
								Modified errors into warnings  
							
							... 
							
							
							
							No longer false warnings for memories and assertions 
							
						 
						
							2018-06-05 18:03:22 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								a572b49538 
								
							 
						 
						
							
							
								
								Replace -ignore_redef with -[no]overwrite  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-05-03 15:25:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								2b9c75f8e3 
								
							 
						 
						
							
							
								
								This PR should be the base for discussion, do not merge it yet!  
							
							... 
							
							
							
							It correctly detects reg/wire mix and incorrect use on blocking,nonblocking assignments within blocks and assign statements.
What it DOES'T do:
Detect registers connected to output ports of instances.
Where it FAILS:
memorty nonblocking assignments causes spurious (I assume??) errors on yosys-generated "_ADDR", "_DATA", "EN" signals.
You can test it with tests/simple/reg_wire_error.v (look inside for the comments to enable/disable specific lines) 
							
						 
						
							2018-03-11 23:09:34 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								c80315cea4 
								
							 
						 
						
							
							
								
								Bugfix in hierarchy handling of blackbox module ports  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-01-05 13:28:45 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								eb40278a16 
								
							 
						 
						
							
							
								
								Turned a few member functions into const, esp. dumpAst(), dumpVlog().  
							
							
							
						 
						
							2017-09-30 07:37:38 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								5f1d0b1024 
								
							 
						 
						
							
							
								
								Add $live and $fair cell types, add support for s_eventually keyword  
							
							
							
						 
						
							2017-02-25 10:36:39 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								1e927a51d5 
								
							 
						 
						
							
							
								
								Preserve string parameters  
							
							
							
						 
						
							2017-02-23 15:39:13 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								3928482a3c 
								
							 
						 
						
							
							
								
								Add $cover cell type and SVA cover() support  
							
							
							
						 
						
							2017-02-04 14:14:26 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								70d7a02cae 
								
							 
						 
						
							
							
								
								Added support for hierarchical defparams  
							
							
							
						 
						
							2016-11-15 13:35:19 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								a926a6afc2 
								
							 
						 
						
							
							
								
								Remember global declarations and defines accross read_verilog calls  
							
							
							
						 
						
							2016-11-15 12:42:43 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								aa72262330 
								
							 
						 
						
							
							
								
								Added avail params to ilang format, check module params in 'hierarchy -check'  
							
							
							
						 
						
							2016-10-22 11:05:49 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								97583ab729 
								
							 
						 
						
							
							
								
								Avoid creation of bogus initial blocks for assert/assume in always @*  
							
							
							
						 
						
							2016-09-06 17:34:42 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								eae390ae17 
								
							 
						 
						
							
							
								
								Removed $predict again  
							
							
							
						 
						
							2016-08-28 21:35:33 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								dbdd8927e7 
								
							 
						 
						
							
							
								
								Minor improvements to AstNode::dumpAst() and AstNode::dumpVlog()  
							
							
							
						 
						
							2016-08-21 13:18:09 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								a7b0769623 
								
							 
						 
						
							
							
								
								Added "read_verilog -dump_rtlil"  
							
							
							
						 
						
							2016-07-27 15:40:17 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								d7763634b6 
								
							 
						 
						
							
							
								
								After reading the SV spec, using non-standard predict() instead of expect()  
							
							
							
						 
						
							2016-07-21 13:34:33 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								721f1f5ecf 
								
							 
						 
						
							
							
								
								Added basic support for $expect cells  
							
							
							
						 
						
							2016-07-13 16:56:17 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								a8200a773f 
								
							 
						 
						
							
							
								
								A few modifications after pull request comments  
							
							... 
							
							
							
							- Renamed Design::packages to Design::verilog_packages
- No need to include ast.h in rtlil.h 
							
						 
						
							2016-06-18 14:23:38 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								178ff3e7f6 
								
							 
						 
						
							
							
								
								Added support for SystemVerilog packages with localparam definitions  
							
							
							
						 
						
							2016-06-18 10:53:55 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								570014800a 
								
							 
						 
						
							
							
								
								Include <cmath> in yosys.h  
							
							
							
						 
						
							2016-05-08 10:50:39 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								0bc95f1e04 
								
							 
						 
						
							
							
								
								Added "yosys -D" feature  
							
							
							
						 
						
							2016-04-21 23:28:37 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								5a09fa4553 
								
							 
						 
						
							
							
								
								Fixed handling of parameters and const functions in casex/casez pattern  
							
							
							
						 
						
							2016-04-21 15:31:54 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								bcc873b805 
								
							 
						 
						
							
							
								
								Fixed some visual studio warnings  
							
							
							
						 
						
							2016-02-13 17:31:24 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								b2544cfcf7 
								
							 
						 
						
							
							
								
								Fixed segfault in AstNode::asReal  
							
							
							
						 
						
							2015-09-25 12:38:01 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								1b8cb9940e 
								
							 
						 
						
							
							
								
								Fixed AstNode::mkconst_bits() segfault on zero-sized constant  
							
							
							
						 
						
							2015-09-24 11:21:20 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Larry Doolittle 
								
							 
						 
						
							
							
							
							
								
							
							
								6c00704a5e 
								
							 
						 
						
							
							
								
								Another block of spelling fixes  
							
							... 
							
							
							
							Smaller this time 
							
						 
						
							2015-08-14 23:27:05 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								6c84341f22 
								
							 
						 
						
							
							
								
								Fixed trailing whitespaces  
							
							
							
						 
						
							2015-07-02 11:14:30 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								1f1deda888 
								
							 
						 
						
							
							
								
								Added non-std verilog assume() statement  
							
							
							
						 
						
							2015-02-26 18:47:39 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								7f1a1759d7 
								
							 
						 
						
							
							
								
								Added "read_verilog -nomeminit" and "nomeminit" attribute  
							
							
							
						 
						
							2015-02-14 11:21:12 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								a8e9d37c14 
								
							 
						 
						
							
							
								
								Creating $meminit cells in verilog front-end  
							
							
							
						 
						
							2015-02-14 10:49:30 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								0bb6b24c11 
								
							 
						 
						
							
							
								
								Added global yosys_celltypes  
							
							
							
						 
						
							2014-12-29 14:30:33 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								90bc71dd90 
								
							 
						 
						
							
							
								
								dict/pool changes in ast  
							
							
							
						 
						
							2014-12-29 03:11:50 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								137f35373f 
								
							 
						 
						
							
							
								
								Changed more code to dict<> and pool<>  
							
							
							
						 
						
							2014-12-28 19:24:24 +01:00