mirror of
https://github.com/YosysHQ/sby.git
synced 2025-04-27 15:35:51 +00:00
Improve documentation of scripts and Verific bindings
Signed-off-by: Clifford Wolf <clifford@clifford.at>
This commit is contained in:
parent
983f066445
commit
93e7e1d1e2
3 changed files with 46 additions and 12 deletions
|
@ -4,7 +4,9 @@ Formal extensions to Verilog
|
|||
|
||||
TBD
|
||||
|
||||
``read_verilog -formal``
|
||||
``read -sv``
|
||||
|
||||
``read_verilog -sv``
|
||||
|
||||
SystemVerilog Immediate Assertions
|
||||
----------------------------------
|
||||
|
@ -33,17 +35,32 @@ Liveness and Fairness
|
|||
|
||||
TBD
|
||||
|
||||
``assert(eventually <expr>);``
|
||||
``assert property (eventually <expr>);``
|
||||
|
||||
``assume(eventually <expr>);``
|
||||
``assume property (eventually <expr>);``
|
||||
|
||||
Unconstrained Variables
|
||||
-----------------------
|
||||
|
||||
TBD
|
||||
|
||||
Nonstandard Extensions in Yosys
|
||||
-------------------------------
|
||||
``(* anyconst *)``
|
||||
|
||||
``(* anyseq *)``
|
||||
|
||||
``(* allconst *)``
|
||||
|
||||
``(* allseq *)``
|
||||
|
||||
Global Clock
|
||||
------------
|
||||
|
||||
TBD
|
||||
|
||||
``(* gclk *)``
|
||||
|
||||
SystemVerilog Concurrent Assertions
|
||||
-----------------------------------
|
||||
|
||||
TBD, see :ref:`sva`.
|
||||
|
||||
|
|
Loading…
Add table
Add a link
Reference in a new issue