3
0
Fork 0
mirror of https://github.com/YosysHQ/sby.git synced 2025-04-05 22:14:08 +00:00
sby/docs/source/verilog.rst
Clifford Wolf 93e7e1d1e2 Improve documentation of scripts and Verific bindings
Signed-off-by: Clifford Wolf <clifford@clifford.at>
2018-06-23 18:25:52 +02:00

67 lines
746 B
ReStructuredText

Formal extensions to Verilog
============================
TBD
``read -sv``
``read_verilog -sv``
SystemVerilog Immediate Assertions
----------------------------------
TBD
``assert(<expr>);``
``assume(<expr>);``
``cover(<expr>);``
SystemVerilog Functions
-----------------------
TBD
``$past``
``$stable``
``$rose``, ``$fell``
Liveness and Fairness
---------------------
TBD
``assert property (eventually <expr>);``
``assume property (eventually <expr>);``
Unconstrained Variables
-----------------------
TBD
``(* anyconst *)``
``(* anyseq *)``
``(* allconst *)``
``(* allseq *)``
Global Clock
------------
TBD
``(* gclk *)``
SystemVerilog Concurrent Assertions
-----------------------------------
TBD, see :ref:`sva`.