Jannis Harder 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								ac0fb2e301 
								
							 
						 
						
							
							
								
								Merge pull request  #4199  from tpwrules/test-fix  
							
							... 
							
							
							
							tests/various/clk2fflogic_effects.sh: fix on macOS 
							
						 
						
							2024-02-11 02:32:42 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									github-actions[bot] 
								
							 
						 
						
							
							
							
							
								
							
							
								0b835f28ca 
								
							 
						 
						
							
							
								
								Bump version  
							
							
							
						 
						
							2024-02-11 00:17:25 +00:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Martin Povišer 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								c46ebf28c2 
								
							 
						 
						
							
							
								
								Merge pull request  #4198  from passingglance/patch-1  
							
							... 
							
							
							
							Update CHAPTER_Basics.rst 
							
						 
						
							2024-02-10 23:16:30 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Thomas Watson 
								
							 
						 
						
							
							
							
							
								
							
							
								10e06f9b66 
								
							 
						 
						
							
							
								
								tests/various/clk2fflogic_effects.sh: remove /tmp use  
							
							... 
							
							
							
							Might not be accessible. 
							
						 
						
							2024-02-10 15:09:54 -06:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Thomas Watson 
								
							 
						 
						
							
							
							
							
								
							
							
								b1f8308772 
								
							 
						 
						
							
							
								
								tests/various/clk2fflogic_effects.sh: fix tail invocation  
							
							... 
							
							
							
							Previous syntax is a GNU extension and not accepted by macOS. Use
documented -n option instead, compatible with POSIX-compliant tail
implementations. 
							
						 
						
							2024-02-10 15:07:55 -06:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									passingglance 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								2b89a5cced 
								
							 
						 
						
							
							
								
								Update CHAPTER_Basics.rst  
							
							... 
							
							
							
							Fix typo in Fig. 2.2 caption. 
							
						 
						
							2024-02-10 10:52:20 -08:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									github-actions[bot] 
								
							 
						 
						
							
							
							
							
								
							
							
								31dbd915ca 
								
							 
						 
						
							
							
								
								Bump version  
							
							
							
						 
						
							2024-02-10 00:15:13 +00:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Ethan Mahintorabi 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								8566489d85 
								
							 
						 
						
							
							
								
								stat: Add sequential area output to stat -liberty  
							
							... 
							
							
							
							Checks to see if a cell is of type ff in the liberty,
and keeps track of an additional area value.
```
   Chip area for module '\addr': 92.280720
   Sequential area for module '\addr': 38.814720
```
Signed-off-by: Ethan Mahintorabi <ethanmoon@google.com> 
							
						 
						
							2024-02-09 23:51:00 +00:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanovic 
								
							 
						 
						
							
							
							
							
								
							
							
								46838172c2 
								
							 
						 
						
							
							
								
								Next dev cycle  
							
							
							
						 
						
							2024-02-09 08:19:14 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanovic 
								
							 
						 
						
							
							
							
							
								
							
							
								543faed9c8 
								
							 
						 
						
							
							
								
								Release version 0.38  
							
							
							
						 
						
							2024-02-09 08:16:24 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									github-actions[bot] 
								
							 
						 
						
							
							
							
							
								
							
							
								8e3a718e30 
								
							 
						 
						
							
							
								
								Bump version  
							
							
							
						 
						
							2024-02-09 00:15:19 +00:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanović 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								2f4c917dac 
								
							 
						 
						
							
							
								
								Merge pull request  #4181  from povik/ci-cxxstd-fix  
							
							... 
							
							
							
							ci: Fix CXXSTD typo 
							
						 
						
							2024-02-08 18:55:47 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanović 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								d808258583 
								
							 
						 
						
							
							
								
								Merge pull request  #4193  from povik/opt_lut-help  
							
							... 
							
							
							
							opt_lut: Remove leftover `-dlogic` help 
							
						 
						
							2024-02-08 18:54:16 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Martin Povišer 
								
							 
						 
						
							
							
							
							
								
							
							
								043f1e2bcb 
								
							 
						 
						
							
							
								
								opt_lut: Remove leftover -dlogic help  
							
							
							
						 
						
							2024-02-08 17:49:44 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Martin Povišer 
								
							 
						 
						
							
							
							
							
								
							
							
								af1a5cfeb9 
								
							 
						 
						
							
							
								
								Address SigBit/SigSpec confusion issues under c++20  
							
							
							
						 
						
							2024-02-08 17:48:36 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Martin Povišer 
								
							 
						 
						
							
							
							
							
								
							
							
								66479a2232 
								
							 
						 
						
							
							
								
								hashlib: Add missing stdint.h include  
							
							... 
							
							
							
							We use `uint32_t` `uint64_t` etc. so add an explicit include. 
							
						 
						
							2024-02-08 14:27:12 +00:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Catherine 
								
							 
						 
						
							
							
							
							
								
							
							
								1236bb65b6 
								
							 
						 
						
							
							
								
								read_verilog: don't include empty opt_sva_label in span.  
							
							... 
							
							
							
							Consider this SystemVerilog file:
    module top(...);
      input clk;
      input [7:0] data;
      input ack;
      always @(posedge clk)
        if (ack) begin
          assert(data != 8'h0a);
        end
    endmodule
Before this commit, the span for the assert was:
        if (ack) begin>
          assert(data != 8'h0a)<;
After this commit, the span for the assert is:
        if (ack) begin
          >assert(data != 8'h0a)<;
This helps editor integrations that only look at the beginning
of the span. 
							
						 
						
							2024-02-08 14:25:35 +00:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanović 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								675b8a7319 
								
							 
						 
						
							
							
								
								Merge pull request  #4190  from YosysHQ/xdg  
							
							... 
							
							
							
							Follow the XDG Base Directory Specification 
							
						 
						
							2024-02-08 14:05:31 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanovic 
								
							 
						 
						
							
							
							
							
								
							
							
								a38273c19d 
								
							 
						 
						
							
							
								
								add log_suppressed and fixed formatting  
							
							
							
						 
						
							2024-02-08 12:19:42 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Martin Povišer 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								a1824ba5b6 
								
							 
						 
						
							
							
								
								Merge pull request  #4187  from povik/synth-help  
							
							... 
							
							
							
							synth: Run script in full in help mode 
							
						 
						
							2024-02-08 09:56:48 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanovic 
								
							 
						 
						
							
							
							
							
								
							
							
								2797d67569 
								
							 
						 
						
							
							
								
								Move block and change message to debug  
							
							
							
						 
						
							2024-02-08 09:19:19 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanovic 
								
							 
						 
						
							
							
							
							
								
							
							
								f785eef685 
								
							 
						 
						
							
							
								
								Merge branch 'master' of github.com:hakan-demirli/yosys into xdg  
							
							
							
						 
						
							2024-02-08 09:03:52 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Martin Povišer 
								
							 
						 
						
							
							
							
							
								
							
							
								862f2fd705 
								
							 
						 
						
							
							
								
								proc_dlatch: Include $bwmux among considered mux cells  
							
							
							
						 
						
							2024-02-08 00:08:50 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Martin Povišer 
								
							 
						 
						
							
							
							
							
								
							
							
								7a3316dd78 
								
							 
						 
						
							
							
								
								synth: Tweak phrasing of -booth help  
							
							
							
						 
						
							2024-02-08 00:05:15 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Martin Povišer 
								
							 
						 
						
							
							
							
							
								
							
							
								a98d363d9d 
								
							 
						 
						
							
							
								
								synth: Run script in full in help mode  
							
							
							
						 
						
							2024-02-08 00:05:15 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									github-actions[bot] 
								
							 
						 
						
							
							
							
							
								
							
							
								16ff3e0a30 
								
							 
						 
						
							
							
								
								Bump version  
							
							
							
						 
						
							2024-02-07 00:14:46 +00:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								364bcfb8f1 
								
							 
						 
						
							
							
								
								Example pass for the scopeinfo index/lookup utils  
							
							
							
						 
						
							2024-02-06 18:01:26 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								0d5b48de98 
								
							 
						 
						
							
							
								
								Add scopeinfo index/lookup utils  
							
							
							
						 
						
							2024-02-06 18:01:26 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								bbe39762ad 
								
							 
						 
						
							
							
								
								Ignore $scopeinfo in write_json  
							
							
							
						 
						
							2024-02-06 17:51:29 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								f31fb95963 
								
							 
						 
						
							
							
								
								Ignore $scopeinfo in write_verilog  
							
							
							
						 
						
							2024-02-06 17:51:29 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								5ee8bebde4 
								
							 
						 
						
							
							
								
								Ignore $scopeinfo in write_spice  
							
							
							
						 
						
							2024-02-06 17:51:29 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								418bf61b8d 
								
							 
						 
						
							
							
								
								Ignore $scopeinfo in write_smv  
							
							
							
						 
						
							2024-02-06 17:51:29 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								55d8425468 
								
							 
						 
						
							
							
								
								Ignore $scopeinfo in write_firrtl  
							
							
							
						 
						
							2024-02-06 17:51:29 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								59a60c76fe 
								
							 
						 
						
							
							
								
								Ignore $scopeinfo in write_blif  
							
							
							
						 
						
							2024-02-06 17:51:29 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								5cfbc1604c 
								
							 
						 
						
							
							
								
								Ignore $scopeinfo in write_edif  
							
							
							
						 
						
							2024-02-06 17:51:29 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								10d5d358d2 
								
							 
						 
						
							
							
								
								Ignore $scopeinfo in write_aiger  
							
							... 
							
							
							
							While SBY's aiger flow already removes non-assertion driving logic,
there are some uses of write_aiger outside of SBY that could end up with
$scopeinfo cells, so we explicitly ignore them.
The write_btor backend works differently and due to the way it
recursively visits cells, it would never reach isolated cells like
$scopeinfo. 
							
						 
						
							2024-02-06 17:51:29 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								bfd9cf63db 
								
							 
						 
						
							
							
								
								Ignore $scopeinfo in opt_merge  
							
							
							
						 
						
							2024-02-06 17:51:29 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								9288107f43 
								
							 
						 
						
							
							
								
								Test flatten and opt_clean's $scopeinfo handling  
							
							
							
						 
						
							2024-02-06 17:51:29 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								8902fc94b6 
								
							 
						 
						
							
							
								
								Suport $scopeinfo in flatten and opt_clean  
							
							
							
						 
						
							2024-02-06 17:51:29 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								f728927307 
								
							 
						 
						
							
							
								
								Add builtin celltype $scopeinfo  
							
							... 
							
							
							
							Only declares the cell interface, doesn't make anything use or
understand $scopeinfo yet. 
							
						 
						
							2024-02-06 17:51:24 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanović 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								269c50f90e 
								
							 
						 
						
							
							
								
								Merge pull request  #4130  from jix/hierarchy-defer-notop  
							
							... 
							
							
							
							hierarchy: Without a known top module, derive all deferred modules 
							
						 
						
							2024-02-06 12:08:01 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanovic 
								
							 
						 
						
							
							
							
							
								
							
							
								d00843d436 
								
							 
						 
						
							
							
								
								Add -nordff to test  
							
							
							
						 
						
							2024-02-06 10:36:30 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Jannis Harder 
								
							 
						 
						
							
							
							
							
								
							
							
								0470cbb00d 
								
							 
						 
						
							
							
								
								hierarchy: Without a known top module, derive all deferred modules  
							
							... 
							
							
							
							This fixes hierarchy when used with cell libraries that were loaded with
-defer and also makes more of the hierarchy visible to the auto-top
heuristic. 
							
						 
						
							2024-02-06 10:31:40 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanović 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								5d3e4c5c7a 
								
							 
						 
						
							
							
								
								Merge pull request  #4182  from QuantamHD/fix_aldff  
							
							... 
							
							
							
							verific: Improves aldff inference in verific importer 
							
						 
						
							2024-02-06 08:19:43 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									github-actions[bot] 
								
							 
						 
						
							
							
							
							
								
							
							
								1df2a209e5 
								
							 
						 
						
							
							
								
								Bump version  
							
							
							
						 
						
							2024-02-06 00:15:26 +00:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Claire Xen 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								1b73b5beb7 
								
							 
						 
						
							
							
								
								Merge pull request  #4174  from YosysHQ/claire/overwrite  
							
							... 
							
							
							
							Add API to overwrite existing pass from plugin 
							
						 
						
							2024-02-05 23:49:24 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Martin Povišer 
								
							 
						 
						
							
							
							
							
								
							
							
								57db87c99f 
								
							 
						 
						
							
							
								
								py_wrap_generator: Handle const-qualified callbacks  
							
							
							
						 
						
							2024-02-05 17:25:55 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									N. Engelhardt 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								2422dd6845 
								
							 
						 
						
							
							
								
								Merge pull request  #4153  from Coloquinte/blif_delay_constraints  
							
							... 
							
							
							
							Issue a warning instead of a syntax error for blif delay constraints 
							
						 
						
							2024-02-05 15:14:05 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									N. Engelhardt 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								f96e27ac14 
								
							 
						 
						
							
							
								
								Merge pull request  #4123  from povik/clean-opt_clean  
							
							... 
							
							
							
							opt_clean: Add commentary, remove dead code 
							
						 
						
							2024-02-05 15:08:34 +01:00 
							
								 
							
						 
					 
				
					
						
							
								
								
									Ethan Mahintorabi 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								ff578ecabd 
								
							 
						 
						
							
							
								
								fix formatting  
							
							... 
							
							
							
							Signed-off-by: Ethan Mahintorabi <ethanmoon@google.com> 
							
						 
						
							2024-02-05 07:23:04 +00:00