Eddie Hung
|
4de03bd5e6
|
Remove double push
|
2019-07-12 01:08:48 -07:00 |
|
Eddie Hung
|
62ac5ebd02
|
Map to and from this box if -abc9
|
2019-07-12 00:53:01 -07:00 |
|
Eddie Hung
|
0f5bddcd79
|
ice40_opt to handle this box and opt back to SB_LUT4
|
2019-07-12 00:52:31 -07:00 |
|
Eddie Hung
|
a79ff2501e
|
Add new box to cells_sim.v
|
2019-07-12 00:52:19 -07:00 |
|
Eddie Hung
|
c6e16e1334
|
_ABC macro will map and unmap to this new box
|
2019-07-12 00:51:37 -07:00 |
|
Eddie Hung
|
fc3d74616f
|
Combine SB_CARRY+SB_LUT into one $__ICE40_CARRY_LUT4 box
|
2019-07-12 00:50:42 -07:00 |
|
whitequark
|
b700a4b1c5
|
synth_ice40: switch -relut to be always on.
|
2019-07-11 20:18:41 +00:00 |
|
whitequark
|
a8c5f7f41e
|
synth_ice40: fix help text typo. NFC.
|
2019-07-11 20:18:41 +00:00 |
|
Eddie Hung
|
19c1c3cfa3
|
Merge pull request #1182 from koriakin/xc6s-bram
synth_xilinx: Initial Spartan 6 block RAM inference support.
|
2019-07-11 12:55:35 -07:00 |
|
Marcin Kościelnicki
|
a9efacd01d
|
xilinx: Fix the default values for FDPE/FDSE INIT attributes to match ISE/Vivado.
|
2019-07-11 21:13:12 +02:00 |
|
Marcin Kościelnicki
|
ce250b341c
|
synth_xilinx: Initial Spartan 6 block RAM inference support.
|
2019-07-11 14:45:48 +02:00 |
|
Eddie Hung
|
bb2144ae73
|
Merge pull request #1180 from YosysHQ/eddie/no_abc9_retime
Error out if -abc9 and -retime specified
|
2019-07-10 14:38:13 -07:00 |
|
Eddie Hung
|
2f990a7319
|
Merge pull request #1148 from YosysHQ/xc7mux
synth_xilinx to infer wide multiplexers using new '-widemux <min>' option
|
2019-07-10 14:38:00 -07:00 |
|
Eddie Hung
|
6bbd286e03
|
Error out if -abc9 and -retime specified
|
2019-07-10 12:47:48 -07:00 |
|
Eddie Hung
|
58bb84e5b2
|
Add some spacing
|
2019-07-10 12:32:33 -07:00 |
|
Eddie Hung
|
521971e32e
|
Add some ASCII art explaining mux decomposition
|
2019-07-10 12:20:04 -07:00 |
|
Eddie Hung
|
e573d024a2
|
Call muxpack and pmux2shiftx before cmp2lut
|
2019-07-09 21:26:38 -07:00 |
|
Eddie Hung
|
c55530b901
|
Restore opt_clean back to original place
|
2019-07-09 14:29:58 -07:00 |
|
Eddie Hung
|
5b48b18d29
|
Restore missing techmap -map +/cmp2lut.v with LUT_WIDTH=6
|
2019-07-09 14:28:54 -07:00 |
|
David Shah
|
27b27b8781
|
synth_ecp5: Fix typo in copyright header
Signed-off-by: David Shah <dave@ds0.me>
|
2019-07-09 22:26:10 +01:00 |
|
Eddie Hung
|
b1a048a703
|
Extend using A[1] to preserve don't care
|
2019-07-09 12:35:41 -07:00 |
|
Eddie Hung
|
93522b0ae1
|
Extend during mux decomposition with 1'bx
|
2019-07-09 10:59:37 -07:00 |
|
Eddie Hung
|
c864995343
|
Fix typo and comments
|
2019-07-09 10:38:07 -07:00 |
|
Eddie Hung
|
c91cb73562
|
Merge remote-tracking branch 'origin/master' into xc7mux
|
2019-07-09 10:22:49 -07:00 |
|
Eddie Hung
|
c68b909210
|
synth_xilinx to call commands of synth -coarse directly
|
2019-07-09 10:21:54 -07:00 |
|
Eddie Hung
|
737340327f
|
Revert "synth_xilinx to call "synth -run coarse" with "-keepdc""
This reverts commit 7f964859ec .
|
2019-07-09 10:15:02 -07:00 |
|
Eddie Hung
|
713337255e
|
Revert "Add "synth -keepdc" option"
|
2019-07-09 10:14:23 -07:00 |
|
Eddie Hung
|
bc84f7dd10
|
Fix spacing
|
2019-07-09 09:22:12 -07:00 |
|
Eddie Hung
|
667199d460
|
Fix spacing
|
2019-07-09 09:16:00 -07:00 |
|
Clifford Wolf
|
a429aedc0f
|
Merge pull request #1167 from YosysHQ/eddie/xc7srl_cleanup
Cleanup synth_xilinx SRL inference, make more consistent
|
2019-07-09 16:49:08 +02:00 |
|
Eddie Hung
|
6951e32070
|
Decompose mux inputs in delay-orientated (rather than area) fashion
|
2019-07-08 23:51:13 -07:00 |
|
Eddie Hung
|
45da3ada7b
|
Do not call opt -mux_undef (part of -full) before muxcover
|
2019-07-08 23:49:16 -07:00 |
|
Eddie Hung
|
d4ab43d940
|
Add one more comment
|
2019-07-08 23:05:48 -07:00 |
|
Eddie Hung
|
939a225f92
|
Less thinking
|
2019-07-08 23:02:57 -07:00 |
|
Eddie Hung
|
de40453553
|
Reword
|
2019-07-08 22:56:19 -07:00 |
|
Eddie Hung
|
7f8c420cf7
|
Merge pull request #1166 from YosysHQ/eddie/synth_keepdc
Add "synth -keepdc" option
|
2019-07-08 21:43:16 -07:00 |
|
Eddie Hung
|
7f964859ec
|
synth_xilinx to call "synth -run coarse" with "-keepdc"
|
2019-07-08 19:23:24 -07:00 |
|
Eddie Hung
|
9ac078be6f
|
Merge remote-tracking branch 'origin/eddie/synth_keepdc' into xc7mux
|
2019-07-08 19:21:53 -07:00 |
|
Eddie Hung
|
dd9771cbcd
|
Add synth -keepdc option
|
2019-07-08 19:14:54 -07:00 |
|
Eddie Hung
|
3f86407cc3
|
Map $__XILINX_SHIFTX in a more balanced manner
|
2019-07-08 17:06:35 -07:00 |
|
Eddie Hung
|
78914e2e0e
|
Capitalisation
|
2019-07-08 17:06:22 -07:00 |
|
Eddie Hung
|
baf47e496f
|
Add synth_xilinx -widemux recommended value
|
2019-07-08 17:04:39 -07:00 |
|
Eddie Hung
|
895ca50173
|
Fixes for 2:1 muxes
|
2019-07-08 12:03:38 -07:00 |
|
Eddie Hung
|
0944acf3af
|
synth_xilinx -widemux=2 is minimum now
|
2019-07-08 11:29:21 -07:00 |
|
Eddie Hung
|
dbe1326573
|
Parametric muxcover costs as per @daveshah1
|
2019-07-08 11:08:20 -07:00 |
|
Eddie Hung
|
c58998a7d2
|
atoi -> stoi as per @daveshah1
|
2019-07-08 10:48:10 -07:00 |
|
Dan Ravensloft
|
4f798cda9d
|
synth_intel: Warn about untested Quartus backend
|
2019-07-07 19:26:31 +01:00 |
|
Eddie Hung
|
810f8c5dbd
|
Merge branch 'eddie/script_from_wire' into eddie/xc7srl_cleanup
|
2019-07-02 09:21:02 -07:00 |
|
Eddie Hung
|
2ea6083b7e
|
Fix $__XILINX_MUXF78 box timing
|
2019-07-01 14:04:06 -07:00 |
|
Eddie Hung
|
09ac274716
|
Revert "Fix broken MUXFx box, use MUXF7x2 box instead"
This reverts commit a9a140aa6c .
|
2019-07-01 14:01:09 -07:00 |
|