Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								855e6a9b91 
								
							 
						 
						
							
							
								
								Fix lexing of integer literals without radix  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-09-13 10:19:58 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								7eb593829f 
								
							 
						 
						
							
							
								
								Fix lexing of integer literals,  fixes   #1364  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-09-12 09:43:32 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									David Shah 
								
							 
						 
						
							
							
							
							
								
							
							
								92694ea3a9 
								
							 
						 
						
							
							
								
								verilog_lexer: Increase YY_BUF_SIZE to 65536  
							
							... 
							
							
							
							Signed-off-by: David Shah <dave@ds0.me> 
							
						 
						
							2019-07-26 13:35:39 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								d206eca03b 
								
							 
						 
						
							
							
								
								Fix read_verilog assert/assume/etc on default case label,  fixes   YosysHQ/SymbiYosys#53  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-07-02 11:36:26 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								4b56f6646d 
								
							 
						 
						
							
							
								
								Fixed brojen $error()/$info/$warning() on non-generate blocks  
							
							... 
							
							
							
							(within always/initial blocks) 
							
						 
						
							2019-06-11 02:52:06 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								a3bbc5365b 
								
							 
						 
						
							
							
								
								Merge branch 'pr_elab_sys_tasks' of  https://github.com/udif/yosys  into clifford/pr983  
							
							
							
						 
						
							2019-06-07 12:08:42 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Stefan Biereigel 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								816082d5a1 
								
							 
						 
						
							
							
								
								Merge branch 'master' into wandwor  
							
							
							
						 
						
							2019-05-27 19:07:46 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Miodrag Milanovic 
								
							 
						 
						
							
							
							
							
								
							
							
								34417ce55f 
								
							 
						 
						
							
							
								
								Added support for unsized constants,  fixes   #1022  
							
							... 
							
							
							
							Includes work from @sumit0190 and @AaronKel 
							
						 
						
							2019-05-27 11:42:10 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Stefan Biereigel 
								
							 
						 
						
							
							
							
							
								
							
							
								9df04d7e75 
								
							 
						 
						
							
							
								
								make lexer/parser aware of wand/wor net types  
							
							
							
						 
						
							2019-05-23 13:57:27 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								c7f2e93024 
								
							 
						 
						
							
							
								
								Merge branch 'master' of github.com:YosysHQ/yosys into clifford/specify  
							
							
							
						 
						
							2019-05-06 11:46:10 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								70d0f389ad 
								
							 
						 
						
							
							
								
								Merge pull request  #988  from YosysHQ/clifford/fix987  
							
							... 
							
							
							
							Add approximate support for SV "var" keyword 
							
						 
						
							2019-05-04 21:58:25 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								66d6ca2de2 
								
							 
						 
						
							
							
								
								Add support for SVA "final" keyword  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-05-04 09:25:32 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								9804c86e87 
								
							 
						 
						
							
							
								
								Add approximate support for SV "var" keyword,  fixes   #987  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-05-04 07:52:51 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								ac10e7d96d 
								
							 
						 
						
							
							
								
								Initial implementation of elaboration system tasks  
							
							... 
							
							
							
							(IEEE1800-2017 section 20.11)
This PR allows us to use $info/$warning/$error/$fatal **at elaboration time** within a generate block.
This is very useful to stop a synthesis of a parametrized block when an
illegal combination of parameters is chosen. 
							
						 
						
							2019-05-03 03:10:43 +03:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								64925b4e8f 
								
							 
						 
						
							
							
								
								Improve $specrule interface  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-23 22:57:10 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								71c38d9de5 
								
							 
						 
						
							
							
								
								Add $specrule cells for $setup/$hold/$skew specify rules  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-23 21:36:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								41b843c27b 
								
							 
						 
						
							
							
								
								Un-break default specify parser  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-23 21:36:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								3cc95fb4be 
								
							 
						 
						
							
							
								
								Add specify parser  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-04-23 21:36:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								b02d9c2634 
								
							 
						 
						
							
							
								
								Fix handling of cases that look like sva labels,  fixes   #862  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-03-10 16:27:18 -07:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								e7a34d342e 
								
							 
						 
						
							
							
								
								Also add support for labels on sva module items,  fixes   #699  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-03-08 22:55:09 -08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								17ceab92a9 
								
							 
						 
						
							
							
								
								Bugfix in Verilog string handling  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2019-01-05 12:10:24 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								f24bc1ed0a 
								
							 
						 
						
							
							
								
								Merge pull request  #659  from rubund/sv_interfaces  
							
							... 
							
							
							
							Support for SystemVerilog interfaces and modports 
							
						 
						
							2018-10-18 10:58:47 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									argama 
								
							 
						 
						
							
							
							
							
								
							
							
								097da32e1a 
								
							 
						 
						
							
							
								
								ignore protect endprotect  
							
							
							
						 
						
							2018-10-16 21:33:37 +08:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								75009ada3c 
								
							 
						 
						
							
							
								
								Synthesis support for SystemVerilog interfaces  
							
							... 
							
							
							
							This time doing the changes mostly in AST before RTLIL generation 
							
						 
						
							2018-10-12 21:11:36 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								ddc1761f1a 
								
							 
						 
						
							
							
								
								Add "make coverage"  
							
							... 
							
							
							
							Signed-off-by: Clifford Wolf <clifford@clifford.at> 
							
						 
						
							2018-08-27 14:22:21 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
								
								
							
							
							
								
							
							
								3d27c1cc80 
								
							 
						 
						
							
							
								
								Merge pull request  #513  from udif/pr_reg_wire_error  
							
							... 
							
							
							
							Add error checking for reg/wire/logic misuse - PR now passes 'make test' (plus a new test) 
							
						 
						
							2018-08-15 13:35:41 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Dan Gisselquist 
								
							 
						 
						
							
							
							
							
								
							
							
								e060375f23 
								
							 
						 
						
							
							
								
								Support more character literals  
							
							
							
						 
						
							2018-05-03 12:35:01 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								6378e2cd46 
								
							 
						 
						
							
							
								
								First draft of Verilog parser support for specify blocks and parameters.  
							
							... 
							
							
							
							The only functionality of this code at the moment is to accept correct specify syntax and ignore it.
No part of the specify block is added to the AST 
							
						 
						
							2018-03-27 14:34:00 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Udi Finkelstein 
								
							 
						 
						
							
							
							
							
								
							
							
								2b9c75f8e3 
								
							 
						 
						
							
							
								
								This PR should be the base for discussion, do not merge it yet!  
							
							... 
							
							
							
							It correctly detects reg/wire mix and incorrect use on blocking,nonblocking assignments within blocks and assign statements.
What it DOES'T do:
Detect registers connected to output ports of instances.
Where it FAILS:
memorty nonblocking assignments causes spurious (I assume??) errors on yosys-generated "_ADDR", "_DATA", "EN" signals.
You can test it with tests/simple/reg_wire_error.v (look inside for the comments to enable/disable specific lines) 
							
						 
						
							2018-03-11 23:09:34 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								777f2881d8 
								
							 
						 
						
							
							
								
								Add Verilog "automatic" keyword (ignored in synthesis)  
							
							
							
						 
						
							2017-11-23 08:51:38 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								2cc09161ff 
								
							 
						 
						
							
							
								
								Fix ignoring of simulation timings so that invalid module parameters cause syntax errors  
							
							
							
						 
						
							2017-09-26 01:52:59 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								5f1d0b1024 
								
							 
						 
						
							
							
								
								Add $live and $fair cell types, add support for s_eventually keyword  
							
							
							
						 
						
							2017-02-25 10:36:39 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								00dba4c197 
								
							 
						 
						
							
							
								
								Add support for SystemVerilog unique, unique0, and priority case  
							
							
							
						 
						
							2017-02-23 16:33:19 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								34d4e72132 
								
							 
						 
						
							
							
								
								Added SystemVerilog support for ++ and --  
							
							
							
						 
						
							2017-02-23 11:21:33 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								848062088c 
								
							 
						 
						
							
							
								
								Add checker support to verilog front-end  
							
							
							
						 
						
							2017-02-09 13:51:44 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								ef4a28e112 
								
							 
						 
						
							
							
								
								Add SV "rand" and "const rand" support  
							
							
							
						 
						
							2017-02-08 14:38:15 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								3928482a3c 
								
							 
						 
						
							
							
								
								Add $cover cell type and SVA cover() support  
							
							
							
						 
						
							2017-02-04 14:14:26 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								fea528280b 
								
							 
						 
						
							
							
								
								Add "enum" and "typedef" lexer support  
							
							
							
						 
						
							2017-01-17 17:33:52 +01:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								eae390ae17 
								
							 
						 
						
							
							
								
								Removed $predict again  
							
							
							
						 
						
							2016-08-28 21:35:33 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								1276c87a56 
								
							 
						 
						
							
							
								
								Added read_verilog -norestrict -assume-asserts  
							
							
							
						 
						
							2016-08-26 23:35:27 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								cd18235f30 
								
							 
						 
						
							
							
								
								Added SV "restrict" keyword  
							
							
							
						 
						
							2016-08-24 15:30:08 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								d7763634b6 
								
							 
						 
						
							
							
								
								After reading the SV spec, using non-standard predict() instead of expect()  
							
							
							
						 
						
							2016-07-21 13:34:33 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								721f1f5ecf 
								
							 
						 
						
							
							
								
								Added basic support for $expect cells  
							
							
							
						 
						
							2016-07-13 16:56:17 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Ruben Undheim 
								
							 
						 
						
							
							
							
							
								
							
							
								178ff3e7f6 
								
							 
						 
						
							
							
								
								Added support for SystemVerilog packages with localparam definitions  
							
							
							
						 
						
							2016-06-18 10:53:55 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								f13e387321 
								
							 
						 
						
							
							
								
								SystemVerilog also has assume(), added implicit -D FORMAL  
							
							
							
						 
						
							2015-10-13 14:21:20 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								b845b77f86 
								
							 
						 
						
							
							
								
								Fixed support for $write system task  
							
							
							
						 
						
							2015-09-23 07:10:56 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Larry Doolittle 
								
							 
						 
						
							
							
							
							
								
							
							
								6c00704a5e 
								
							 
						 
						
							
							
								
								Another block of spelling fixes  
							
							... 
							
							
							
							Smaller this time 
							
						 
						
							2015-08-14 23:27:05 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								e4ef000b70 
								
							 
						 
						
							
							
								
								Adjust makefiles to work with out-of-tree builds  
							
							... 
							
							
							
							This is based on work done by Larry Doolittle 
							
						 
						
							2015-08-12 15:04:44 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								6c84341f22 
								
							 
						 
						
							
							
								
								Fixed trailing whitespaces  
							
							
							
						 
						
							2015-07-02 11:14:30 +02:00 
							
								 
							
							
								 
							
						 
					 
				
					
						
							
								
								
									Clifford Wolf 
								
							 
						 
						
							
							
							
							
								
							
							
								a923a63a89 
								
							 
						 
						
							
							
								
								Ignore celldefine directive in verilog front-end  
							
							
							
						 
						
							2015-03-25 19:46:12 +01:00