mirror of
https://github.com/YosysHQ/sby.git
synced 2025-04-03 13:29:56 +00:00
add non-verific name mangling regression test
This commit is contained in:
parent
0f13fc6bc7
commit
e84cc443bd
27
tests/regression/verilog_hier_path.sby
Normal file
27
tests/regression/verilog_hier_path.sby
Normal file
|
@ -0,0 +1,27 @@
|
|||
[options]
|
||||
mode bmc
|
||||
depth 1
|
||||
expect fail
|
||||
|
||||
[engines]
|
||||
smtbmc
|
||||
|
||||
[script]
|
||||
read_verilog -formal sub.v
|
||||
read_verilog -formal top.v
|
||||
prep -top \\(foo)
|
||||
|
||||
[file top.v]
|
||||
module \\(foo) (input a);
|
||||
always @* begin
|
||||
assert_foo: assert (a);
|
||||
end
|
||||
\\(bar) \\(bar)=i= (.a(a));
|
||||
endmodule
|
||||
|
||||
[file sub.v]
|
||||
module \\(bar) (input a);
|
||||
always @* begin
|
||||
assert_bar: assert (a);
|
||||
end
|
||||
endmodule
|
|
@ -12,6 +12,7 @@ verific -vhdl sub.vhd
|
|||
verific -vhdl top.vhd
|
||||
hierarchy -top top
|
||||
hierarchy -top \\sub(p=41)\(rtl)
|
||||
prep
|
||||
|
||||
[file top.vhd]
|
||||
library ieee;
|
||||
|
|
Loading…
Reference in a new issue