3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-11-21 13:16:41 +00:00
No description
Find a file
github-actions[bot] d3aec12fe9 Bump version
2025-03-26 00:22:20 +00:00
.github CI: Sanitize for undefined behavior. 2025-02-03 10:19:19 +01:00
abc@f2d68d590f Update ABC to fix mingw build issue 2025-03-12 07:34:33 +01:00
backends io: refactor string and file work into new unit 2025-03-19 13:43:42 +01:00
docs Merge pull request #4939 from DanielG/rsync-fallback 2025-03-25 10:41:27 +13:00
examples hashlib: redo interface for flexibility 2024-12-18 14:49:25 +01:00
frontends gzip: istream 2025-03-19 13:43:44 +01:00
guidelines docs: move hashing-based container details into internal docs from guidelines 2024-12-18 14:58:53 +01:00
kernel gzip: back to pointers 2025-03-19 13:43:44 +01:00
libs Merge pull request #4953 from YosysHQ/krys/local_docs_fixes 2025-03-25 10:36:18 +13:00
misc hashlib: fix pyosys 2024-12-18 14:58:31 +01:00
passes Merge pull request #4961 from YosysHQ/emil/cutpoint-typo 2025-03-25 21:30:29 +01:00
techlibs Make all vector-size related integer params in $print sim model signed 2025-03-25 13:08:49 +00:00
tests Make cxxrtl tests work on 32-bit by using __builtin_clzll when needed 2025-03-25 13:12:04 +00:00
.clang-format split CodingReadme into multiple files 2021-03-22 19:16:25 +01:00
.dockerignore split CodingReadme into multiple files 2021-03-22 19:16:25 +01:00
.editorconfig wheels: convert versions to match pypa spec, add uploading 2024-10-07 16:39:54 +03:00
.gitattributes Make GIT_REV logic work in release tarballs 2022-05-25 11:20:09 +02:00
.gitcommit Makefile: reproducible .gitcommit 2024-07-12 10:52:03 +02:00
.gitignore Address most comments 2025-03-07 20:16:28 -05:00
.gitmodules actions: vendor sources with submodules for releases 2024-10-17 00:11:10 +02:00
.mailmap mailmap: fix up some identities. 2025-01-29 01:39:09 +01:00
.readthedocs.yaml RTDs: Fail on warning 2024-09-03 10:20:24 +12:00
Brewfile Update Brewfile, since lld is now separate formula 2024-10-09 18:06:58 +02:00
CHANGELOG Next dev cycle 2025-03-12 09:11:41 +01:00
CODE_OF_CONDUCT.md CODE_OF_CONDUCT now explicitly .md 2024-12-05 09:21:13 +13:00
CODEOWNERS Add workflows and CODEOWNERS and fixed gitignore 2024-04-11 14:56:00 +02:00
CONTRIBUTING.md docs: Cross reference contributing 2025-02-20 14:16:41 +13:00
COPYING Copyright year update 2025-01-21 08:48:29 +01:00
Dockerfile Add lld to clang build environments and Dockerfile 2024-07-22 21:33:46 +02:00
flake.lock Add nix flake and lock file. Add nix build step. Pending nix flake update step 2024-05-08 03:52:12 +02:00
flake.nix hashlib: acc -> eat 2024-12-18 15:09:25 +01:00
Makefile Bump version 2025-03-26 00:22:20 +00:00
README.md Moving primary build instructions to docs 2024-12-05 09:21:13 +13:00
setup.py yosys: fix pyosys initialization segfault 2024-10-18 11:56:13 +02:00

yosys Yosys Open SYnthesis Suite

This is a framework for RTL synthesis tools. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains.

Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base.

Yosys is free software licensed under the ISC license (a GPL compatible license that is similar in terms to the MIT license or the 2-clause BSD license).

Third-party software distributed alongside this software is licensed under compatible licenses. Please refer to abc and libs subdirectories for their license terms.

Web Site and Other Resources

More information and documentation can be found on the Yosys web site:

Documentation from this repository is automatically built and available on Read the Docs:

Users interested in formal verification might want to use the formal verification front-end for Yosys, SBY:

Installation

Yosys is part of the Tabby CAD Suite and the OSS CAD Suite! The easiest way to use yosys is to install the binary software suite, which contains all required dependencies and related tools.

Make sure to get a Tabby CAD Suite Evaluation License if you need features such as industry-grade SystemVerilog and VHDL parsers!

For more information about the difference between Tabby CAD Suite and the OSS CAD Suite, please visit https://www.yosyshq.com/tabby-cad-datasheet

Many Linux distributions also provide Yosys binaries, some more up to date than others. Check with your package manager!

Building from Source

For more details, and instructions for other platforms, check building from source on Read the Docs.

When cloning Yosys, some required libraries are included as git submodules. Make sure to call e.g.

$ git clone --recurse-submodules https://github.com/YosysHQ/yosys.git

or

$ git clone https://github.com/YosysHQ/yosys.git
$ cd yosys
$ git submodule update --init --recursive

You need a C++ compiler with C++17 support (up-to-date CLANG or GCC is recommended) and some standard tools such as GNU Flex, GNU Bison, and GNU Make. TCL, readline and libffi are optional (see ENABLE_* settings in Makefile). Xdot (graphviz) is used by the show command in yosys to display schematics.

For example on Ubuntu Linux 16.04 LTS the following commands will install all prerequisites for building yosys:

$ sudo apt-get install build-essential clang lld bison flex \
	libreadline-dev gawk tcl-dev libffi-dev git \
	graphviz xdot pkg-config python3 libboost-system-dev \
	libboost-python-dev libboost-filesystem-dev zlib1g-dev

The environment variable CXX can be used to control the C++ compiler used, or run one of the following to override it:

$ make config-clang
$ make config-gcc

The Makefile has many variables influencing the build process. These can be adjusted by modifying the Makefile.conf file which is created at the make config-... step (see above), or they can be set by passing an option to the make command directly:

$ make CXX=$CXX

For other compilers and build configurations it might be necessary to make some changes to the config section of the Makefile. It's also an alternative way to set the make variables mentioned above.

$ vi Makefile            # ..or..
$ vi Makefile.conf

To build Yosys simply type 'make' in this directory.

$ make
$ sudo make install

Tests are located in the tests subdirectory and can be executed using the test target. Note that you need gawk as well as a recent version of iverilog (i.e. build from git). Then, execute tests via:

$ make test

To use a separate (out-of-tree) build directory, provide a path to the Makefile.

$ mkdir build; cd build
$ make -f ../Makefile

Out-of-tree builds require a clean source tree.

Getting Started

Yosys can be used with the interactive command shell, with synthesis scripts or with command line arguments. Let's perform a simple synthesis job using the interactive command shell:

$ ./yosys
yosys>

the command help can be used to print a list of all available commands and help <command> to print details on the specified command:

yosys> help help

reading and elaborating the design using the Verilog frontend:

yosys> read -sv tests/simple/fiedler-cooley.v
yosys> hierarchy -top up3down5

writing the design to the console in the RTLIL format used by Yosys internally:

yosys> write_rtlil

convert processes (always blocks) to netlist elements and perform some simple optimizations:

yosys> proc; opt

display design netlist using xdot:

yosys> show

the same thing using gv as postscript viewer:

yosys> show -format ps -viewer gv

translating netlist to gate logic and perform some simple optimizations:

yosys> techmap; opt

write design netlist to a new Verilog file:

yosys> write_verilog synth.v

or using a simple synthesis script:

$ cat synth.ys
read -sv tests/simple/fiedler-cooley.v
hierarchy -top up3down5
proc; opt; techmap; opt
write_verilog synth.v

$ ./yosys synth.ys

If ABC is enabled in the Yosys build configuration and a cell library is given in the liberty file mycells.lib, the following synthesis script will synthesize for the given cell library:

# read design
read -sv tests/simple/fiedler-cooley.v
hierarchy -top up3down5

# the high-level stuff
proc; fsm; opt; memory; opt

# mapping to internal cell library
techmap; opt

# mapping flip-flops to mycells.lib
dfflibmap -liberty mycells.lib

# mapping logic to mycells.lib
abc -liberty mycells.lib

# cleanup
clean

If you do not have a liberty file but want to test this synthesis script, you can use the file examples/cmos/cmos_cells.lib from the yosys sources as simple example.

Liberty file downloads for and information about free and open ASIC standard cell libraries can be found here:

The command synth provides a good default synthesis script (see help synth):

read -sv tests/simple/fiedler-cooley.v
synth -top up3down5

# mapping to target cells
dfflibmap -liberty mycells.lib
abc -liberty mycells.lib
clean

The command prep provides a good default word-level synthesis script, as used in SMT-based formal verification.

Additional information

The read_verilog command, used by default when calling read with Verilog source input, does not perform syntax checking. You should instead lint your source with another tool such as Verilator first, e.g. by calling verilator --lint-only.

Building the documentation

Note that there is no need to build the manual if you just want to read it. Simply visit https://yosys.readthedocs.io/en/latest/ instead.

In addition to those packages listed above for building Yosys from source, the following are used for building the website:

$ sudo apt install pdf2svg faketime

Or for MacOS, using homebrew:

$ brew install pdf2svg libfaketime

PDFLaTeX, included with most LaTeX distributions, is also needed during the build process for the website. Or, run the following:

$ sudo apt install texlive-latex-base texlive-latex-extra latexmk

Or for MacOS, using homebrew:

$ brew install basictex $ sudo tlmgr update --self
$ sudo tlmgr install collection-latexextra latexmk tex-gyre

The Python package, Sphinx, is needed along with those listed in docs/source/requirements.txt:

$ pip install -U sphinx -r docs/source/requirements.txt

From the root of the repository, run make docs. This will build/rebuild yosys as necessary before generating the website documentation from the yosys help commands. To build for pdf instead of html, call make docs DOC_TARGET=latexpdf.