mirror of
https://github.com/YosysHQ/yosys
synced 2025-04-06 17:44:09 +00:00
This commit tries to carefully follow the documented behavior of LSE and Synplify. It will use `syn_ramstyle` attribute if there are any write ports, and `syn_romstyle` attribute otherwise. * LSE supports both `syn_ramstyle` and `syn_romstyle`. * Synplify only supports `syn_ramstyle`, with same values as LSE. * Synplify also supports `syn_rw_conflict_logic`, which is not documented as supported for LSE. Limitations of the Yosys implementation: * LSE/Synplify support `syn_ramstyle="block_ram,no_rw_check"` syntax to turn off insertion of transparency logic. There is currently no way to support multiple valued attributes in memory_bram. It is also not clear if that is a good idea, since it can cause sim/synth mismatches. * LSE/Synplify/1364.1 support block ROM inference from full case statements. Yosys does not currently perform this transformation. * LSE/Synplify propagate `syn_ramstyle`/`syn_romstyle` attributes from the module to the inner memories. There is currently no way to do this in Yosys (attrmvcp only works on cells and wires).
149 lines
5.7 KiB
Plaintext
149 lines
5.7 KiB
Plaintext
# ================================ RAM ================================
|
|
# RAM bits <= 4K; Data width <= 16; Address width <= 11: -> SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 11 -set DATA_WIDTH 2 sync_ram_sdp
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 10 -set DATA_WIDTH 4 sync_ram_sdp
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 9 -set DATA_WIDTH 8 sync_ram_sdp
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 8 -set DATA_WIDTH 16 sync_ram_sdp
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
## With parameters
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 0 t:SB_RAM40_4K # too inefficient
|
|
select -assert-min 1 t:SB_DFFE
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
setattr -set syn_ramstyle "block_ram" m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
setattr -set syn_ramstyle "Block_RAM" m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K # any case works
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
setattr -set ram_block 1 m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
setattr -set syn_ramstyle "registers" m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 0 t:SB_RAM40_4K # requested FFRAM explicitly
|
|
select -assert-min 1 t:SB_DFFE
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
setattr -set logic_block 1 m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 0 t:SB_RAM40_4K # requested FFRAM explicitly
|
|
select -assert-min 1 t:SB_DFFE
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
setattr -set syn_romstyle "ebr" m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 0 t:SB_RAM40_4K # requested BROM but this is a RAM
|
|
select -assert-min 1 t:SB_DFFE
|
|
|
|
design -reset; read_verilog ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
setattr -set rom_block 1 m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 0 t:SB_RAM40_4K # requested BROM but this is a RAM
|
|
select -assert-min 1 t:SB_DFFE
|
|
|
|
# ================================ ROM ================================
|
|
# ROM bits <= 4K; Data width <= 16; Address width <= 11: -> SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 11 -set DATA_WIDTH 2 sync_rom
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 10 -set DATA_WIDTH 4 sync_rom
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 9 -set DATA_WIDTH 8 sync_rom
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 8 -set DATA_WIDTH 16 sync_rom
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
## With parameters
|
|
|
|
design -reset; read_verilog ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
write_ilang
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 0 t:SB_RAM40_4K # too inefficient
|
|
select -assert-min 1 t:SB_LUT4
|
|
|
|
design -reset; read_verilog ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
setattr -set syn_romstyle "ebr" m:memory
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
setattr -set rom_block 1 m:memory
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
setattr -set syn_romstyle "logic" m:memory
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 0 t:SB_RAM40_4K # requested LUTROM explicitly
|
|
select -assert-min 1 t:SB_LUT4
|
|
|
|
design -reset; read_verilog ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
setattr -set logic_block 1 m:memory
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 0 t:SB_RAM40_4K # requested LUTROM explicitly
|
|
select -assert-min 1 t:SB_LUT4
|
|
|
|
design -reset; read_verilog ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
setattr -set syn_ramstyle "block_ram" m:memory
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 0 t:SB_RAM40_4K # requested BRAM but this is a ROM
|
|
select -assert-min 1 t:SB_LUT4
|
|
|
|
design -reset; read_verilog ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
setattr -set ram_block 1 m:memory
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 0 t:SB_RAM40_4K # requested BRAM but this is a ROM
|
|
select -assert-min 1 t:SB_LUT4
|