3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-04-23 00:55:32 +00:00

Merge pull request #4931 from povik/buf-clean

opt_clean, simplemap: Add `$buf` handling
This commit is contained in:
Martin Povišer 2025-03-10 15:10:17 +01:00 committed by GitHub
commit d8a4991289
No known key found for this signature in database
GPG key ID: B5690EEEBB952194
4 changed files with 24 additions and 2 deletions

13
tests/techmap/buf.ys Normal file
View file

@ -0,0 +1,13 @@
read_verilog -icells <<EOF
module top(input wire [2:0] a, output wire [2:0] y);
\$buf #(.WIDTH(3)) b(.A(a), .Y(y));
endmodule
EOF
design -save save
opt_clean
select -assert-none t:$buf
design -load save
techmap
select -assert-none t:$buf