mirror of
https://github.com/YosysHQ/yosys
synced 2025-08-11 13:40:53 +00:00
use more standard naming conventions
This commit is contained in:
parent
7e0157ba2b
commit
d3c8e6c14c
2 changed files with 16 additions and 15 deletions
|
@ -1,19 +1,19 @@
|
|||
import config_pkg::*;
|
||||
import package_import_separate::*;
|
||||
|
||||
module top;
|
||||
logic [DATA_WIDTH-1:0] data;
|
||||
logic [ADDR_WIDTH-1:0] addr;
|
||||
module package_import_separate_module;
|
||||
logic [DATAWIDTH-1:0] data;
|
||||
logic [ADDRWIDTH-1:0] addr;
|
||||
logic [2:0] state;
|
||||
|
||||
|
||||
always_comb begin
|
||||
case (state)
|
||||
IDLE: data = 8'h00;
|
||||
START: data = 8'h01;
|
||||
DATA: data = 8'h02;
|
||||
ODD_PARITY: data = 8'h03;
|
||||
STOP: data = 8'h04;
|
||||
DONE: data = 8'h05;
|
||||
default: data = 8'hFF;
|
||||
endcase
|
||||
end
|
||||
endmodule
|
||||
|
||||
endmodule
|
||||
|
|
Loading…
Add table
Add a link
Reference in a new issue