mirror of
https://github.com/YosysHQ/yosys
synced 2025-10-03 22:43:57 +00:00
Add support for SVA until statements via Verific
Signed-off-by: Clifford Wolf <clifford@clifford.at>
This commit is contained in:
parent
5fa2aa2741
commit
9d963cd29c
3 changed files with 138 additions and 34 deletions
19
tests/sva/sva_until.sv
Normal file
19
tests/sva/sva_until.sv
Normal file
|
@ -0,0 +1,19 @@
|
|||
module top (
|
||||
input clk,
|
||||
input a, b, c, d
|
||||
);
|
||||
default clocking @(posedge clk); endclocking
|
||||
|
||||
assert property (
|
||||
a |=> b until_with (c ##1 d)
|
||||
);
|
||||
|
||||
`ifndef FAIL
|
||||
assume property (
|
||||
a |=> b && c
|
||||
);
|
||||
assume property (
|
||||
b && c |=> b && d
|
||||
);
|
||||
`endif
|
||||
endmodule
|
Loading…
Add table
Add a link
Reference in a new issue