mirror of
https://github.com/YosysHQ/yosys
synced 2025-08-19 17:50:26 +00:00
verific: import attributes on ports
Co-authored-by: Miodrag Milanović <mmicko@gmail.com>
This commit is contained in:
parent
1b6d1e9419
commit
833b67af80
3 changed files with 10 additions and 5 deletions
|
|
@ -26,9 +26,9 @@ reg [DEPTH_LOG2-1:0] counter = 0;
|
|||
reg done = 1'b0;
|
||||
always @(posedge clk) begin
|
||||
if (!done)
|
||||
counter = counter + 1;
|
||||
counter = counter + 1'b1;
|
||||
if (counter == 0)
|
||||
done = 1;
|
||||
done = 1'b1;
|
||||
end
|
||||
|
||||
wire [WIDTH-1:0] old_data = PRIME1 * counter;
|
||||
|
|
|
|||
|
|
@ -30,7 +30,7 @@ select -assert-count 1 t:RAM_BLOCK_SDP
|
|||
|
||||
design -reset
|
||||
|
||||
verific -vhdl <<
|
||||
verific -vhdl <<EOF
|
||||
library IEEE;
|
||||
use IEEE.std_logic_1164.all;
|
||||
use ieee.std_logic_unsigned.all;
|
||||
|
|
@ -66,7 +66,7 @@ begin
|
|||
|
||||
end architecture rtl;
|
||||
EOF
|
||||
hierarchy -top rom
|
||||
hierarchy -top rom_example
|
||||
proc
|
||||
opt
|
||||
opt -full
|
||||
|
|
|
|||
Loading…
Add table
Add a link
Reference in a new issue