mirror of
https://github.com/YosysHQ/yosys
synced 2025-06-29 09:28:46 +00:00
Added tests for attributes
Signed-off-by: Maciej Kurc <mkurc@antmicro.com>
This commit is contained in:
parent
a6cadf6318
commit
5739cf5265
9 changed files with 219 additions and 0 deletions
21
tests/simple/attrib01_module.v
Normal file
21
tests/simple/attrib01_module.v
Normal file
|
@ -0,0 +1,21 @@
|
||||||
|
module bar(clk, rst, inp, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire inp;
|
||||||
|
output reg out;
|
||||||
|
|
||||||
|
always @(posedge clk)
|
||||||
|
if (rst) out <= 1'd0;
|
||||||
|
else out <= ~inp;
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module foo(clk, rst, inp, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire inp;
|
||||||
|
output wire out;
|
||||||
|
|
||||||
|
bar bar_instance (clk, rst, inp, out);
|
||||||
|
endmodule
|
||||||
|
|
25
tests/simple/attrib02_port_decl.v
Normal file
25
tests/simple/attrib02_port_decl.v
Normal file
|
@ -0,0 +1,25 @@
|
||||||
|
module bar(clk, rst, inp, out);
|
||||||
|
(* this_is_clock = 1 *)
|
||||||
|
input wire clk;
|
||||||
|
(* this_is_reset = 1 *)
|
||||||
|
input wire rst;
|
||||||
|
input wire inp;
|
||||||
|
(* an_output_register = 1*)
|
||||||
|
output reg out;
|
||||||
|
|
||||||
|
always @(posedge clk)
|
||||||
|
if (rst) out <= 1'd0;
|
||||||
|
else out <= ~inp;
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module foo(clk, rst, inp, out);
|
||||||
|
(* this_is_the_master_clock *)
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire inp;
|
||||||
|
output wire out;
|
||||||
|
|
||||||
|
bar bar_instance (clk, rst, inp, out);
|
||||||
|
endmodule
|
||||||
|
|
28
tests/simple/attrib03_parameter.v
Normal file
28
tests/simple/attrib03_parameter.v
Normal file
|
@ -0,0 +1,28 @@
|
||||||
|
module bar(clk, rst, inp, out);
|
||||||
|
|
||||||
|
(* bus_width *)
|
||||||
|
parameter WIDTH = 2;
|
||||||
|
|
||||||
|
(* an_attribute_on_localparam = 55 *)
|
||||||
|
localparam INCREMENT = 5;
|
||||||
|
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire [WIDTH-1:0] inp;
|
||||||
|
output reg [WIDTH-1:0] out;
|
||||||
|
|
||||||
|
always @(posedge clk)
|
||||||
|
if (rst) out <= 0;
|
||||||
|
else out <= inp + INCREMENT;
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module foo(clk, rst, inp, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire [7:0] inp;
|
||||||
|
output wire [7:0] out;
|
||||||
|
|
||||||
|
bar # (.WIDTH(8)) bar_instance (clk, rst, inp, out);
|
||||||
|
endmodule
|
||||||
|
|
32
tests/simple/attrib04_net_var.v
Normal file
32
tests/simple/attrib04_net_var.v
Normal file
|
@ -0,0 +1,32 @@
|
||||||
|
module bar(clk, rst, inp, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire inp;
|
||||||
|
output reg out;
|
||||||
|
|
||||||
|
(* this_is_a_prescaler *)
|
||||||
|
reg [7:0] counter;
|
||||||
|
|
||||||
|
(* temp_wire *)
|
||||||
|
wire out_val;
|
||||||
|
|
||||||
|
always @(posedge clk)
|
||||||
|
counter <= counter + 1;
|
||||||
|
|
||||||
|
assign out_val = inp ^ counter[4];
|
||||||
|
|
||||||
|
always @(posedge clk)
|
||||||
|
if (rst) out <= 1'd0;
|
||||||
|
else out <= out_val;
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module foo(clk, rst, inp, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire inp;
|
||||||
|
output wire out;
|
||||||
|
|
||||||
|
bar bar_instance (clk, rst, inp, out);
|
||||||
|
endmodule
|
||||||
|
|
21
tests/simple/attrib05_port_conn.v.DISABLED
Normal file
21
tests/simple/attrib05_port_conn.v.DISABLED
Normal file
|
@ -0,0 +1,21 @@
|
||||||
|
module bar(clk, rst, inp, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire inp;
|
||||||
|
output reg out;
|
||||||
|
|
||||||
|
always @(posedge clk)
|
||||||
|
if (rst) out <= 1'd0;
|
||||||
|
else out <= ~inp;
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module foo(clk, rst, inp, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire inp;
|
||||||
|
output wire out;
|
||||||
|
|
||||||
|
bar bar_instance ( (* clock_connected *) clk, rst, (* this_is_the_input *) inp, out);
|
||||||
|
endmodule
|
||||||
|
|
23
tests/simple/attrib06_operator_suffix.v
Normal file
23
tests/simple/attrib06_operator_suffix.v
Normal file
|
@ -0,0 +1,23 @@
|
||||||
|
module bar(clk, rst, inp_a, inp_b, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire [7:0] inp_a;
|
||||||
|
input wire [7:0] inp_b;
|
||||||
|
output reg [7:0] out;
|
||||||
|
|
||||||
|
always @(posedge clk)
|
||||||
|
if (rst) out <= 0;
|
||||||
|
else out <= inp_a + (* ripple_adder *) inp_b;
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module foo(clk, rst, inp_a, inp_b, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire [7:0] inp_a;
|
||||||
|
input wire [7:0] inp_b;
|
||||||
|
output wire [7:0] out;
|
||||||
|
|
||||||
|
bar bar_instance (clk, rst, inp_a, inp_b, out);
|
||||||
|
endmodule
|
||||||
|
|
21
tests/simple/attrib07_func_call.v.DISABLED
Normal file
21
tests/simple/attrib07_func_call.v.DISABLED
Normal file
|
@ -0,0 +1,21 @@
|
||||||
|
function [7:0] do_add;
|
||||||
|
input [7:0] inp_a;
|
||||||
|
input [7:0] inp_b;
|
||||||
|
|
||||||
|
do_add = inp_a + inp_b;
|
||||||
|
|
||||||
|
endfunction
|
||||||
|
|
||||||
|
module foo(clk, rst, inp_a, inp_b, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire [7:0] inp_a;
|
||||||
|
input wire [7:0] inp_b;
|
||||||
|
output wire [7:0] out;
|
||||||
|
|
||||||
|
always @(posedge clk)
|
||||||
|
if (rst) out <= 0;
|
||||||
|
else out <= do_add (* combinational_adder *) (inp_a, inp_b);
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
|
22
tests/simple/attrib08_mod_inst.v
Normal file
22
tests/simple/attrib08_mod_inst.v
Normal file
|
@ -0,0 +1,22 @@
|
||||||
|
module bar(clk, rst, inp, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire inp;
|
||||||
|
output reg out;
|
||||||
|
|
||||||
|
always @(posedge clk)
|
||||||
|
if (rst) out <= 1'd0;
|
||||||
|
else out <= ~inp;
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module foo(clk, rst, inp, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire inp;
|
||||||
|
output wire out;
|
||||||
|
|
||||||
|
(* my_module_instance = 99 *)
|
||||||
|
bar bar_instance (clk, rst, inp, out);
|
||||||
|
endmodule
|
||||||
|
|
26
tests/simple/attrib09_case.v
Normal file
26
tests/simple/attrib09_case.v
Normal file
|
@ -0,0 +1,26 @@
|
||||||
|
module bar(clk, rst, inp, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire [1:0] inp;
|
||||||
|
output reg [1:0] out;
|
||||||
|
|
||||||
|
always @(inp)
|
||||||
|
(* full_case, parallel_case *)
|
||||||
|
case(inp)
|
||||||
|
2'd0: out <= 2'd3;
|
||||||
|
2'd1: out <= 2'd2;
|
||||||
|
2'd2: out <= 2'd1;
|
||||||
|
2'd3: out <= 2'd0;
|
||||||
|
endcase
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
|
||||||
|
module foo(clk, rst, inp, out);
|
||||||
|
input wire clk;
|
||||||
|
input wire rst;
|
||||||
|
input wire [1:0] inp;
|
||||||
|
output wire [1:0] out;
|
||||||
|
|
||||||
|
bar bar_instance (clk, rst, inp, out);
|
||||||
|
endmodule
|
||||||
|
|
Loading…
Add table
Add a link
Reference in a new issue