mirror of
https://github.com/YosysHQ/yosys
synced 2025-06-29 01:18:46 +00:00
tests: update/extend task argument tests
This commit is contained in:
parent
1f3003be7d
commit
0d2c33f9f4
2 changed files with 35 additions and 2 deletions
34
tests/verilog/bug2042-sv.ys
Normal file
34
tests/verilog/bug2042-sv.ys
Normal file
|
@ -0,0 +1,34 @@
|
||||||
|
read_verilog -sv <<EOT
|
||||||
|
module Task_Test_Top
|
||||||
|
(
|
||||||
|
input a,
|
||||||
|
output b
|
||||||
|
);
|
||||||
|
|
||||||
|
task SomeTaskName(a);
|
||||||
|
b = ~a;
|
||||||
|
endtask
|
||||||
|
|
||||||
|
always @*
|
||||||
|
SomeTaskName(a);
|
||||||
|
|
||||||
|
assert property (b == ~a);
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
EOT
|
||||||
|
proc
|
||||||
|
sat -verify -prove-asserts
|
||||||
|
|
||||||
|
|
||||||
|
design -reset
|
||||||
|
logger -expect error "syntax error, unexpected TOK_ENDTASK, expecting ';'" 1
|
||||||
|
read_verilog -sv <<EOT
|
||||||
|
module Task_Test_Top
|
||||||
|
(
|
||||||
|
);
|
||||||
|
|
||||||
|
task SomeTaskName(a)
|
||||||
|
endtask
|
||||||
|
|
||||||
|
endmodule
|
||||||
|
EOT
|
|
@ -1,4 +1,4 @@
|
||||||
logger -expect error "Non-ANSI style task/function arguments not currently supported" 1
|
logger -expect error "task/function argument direction missing" 1
|
||||||
read_verilog <<EOT
|
read_verilog <<EOT
|
||||||
module Task_Test_Top
|
module Task_Test_Top
|
||||||
(
|
(
|
||||||
|
@ -9,4 +9,3 @@ module Task_Test_Top
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
EOT
|
EOT
|
||||||
|
|
||||||
|
|
Loading…
Add table
Add a link
Reference in a new issue