3
0
Fork 0
mirror of https://github.com/YosysHQ/sby.git synced 2025-04-06 14:24:08 +00:00
sby/tests/cover_fail.sby
2022-02-07 15:29:36 +01:00

32 lines
369 B
Plaintext

[options]
mode cover
depth 5
expect fail
[engines]
smtbmc boolector
[script]
read_verilog -sv test.v
prep -top test
[file test.v]
module test(
input clk,
input rst,
output reg [3:0] count
);
initial assume (rst == 1'b1);
always @(posedge clk) begin
if (rst)
count <= 4'b0;
else
count <= count + 1'b1;
cover (count == 0);
cover (count == 4'd11);
end
endmodule