mirror of
https://github.com/YosysHQ/sby.git
synced 2025-04-05 06:04:06 +00:00
test uninited FFs with const clks and fix btor script for this
This commit is contained in:
parent
9016031f32
commit
ff802086b4
|
@ -653,7 +653,7 @@ class SbyTask(SbyConfig):
|
|||
print("abc", file=f)
|
||||
print("opt_clean", file=f)
|
||||
else:
|
||||
print("opt -fast", file=f)
|
||||
print("opt -fast -keepdc", file=f)
|
||||
print("delete -output", file=f)
|
||||
print("dffunmap", file=f)
|
||||
print("stat", file=f)
|
||||
|
|
43
tests/regression/const_clocks.sby
Normal file
43
tests/regression/const_clocks.sby
Normal file
|
@ -0,0 +1,43 @@
|
|||
[tasks]
|
||||
btor
|
||||
smt
|
||||
btor_m btor multiclock
|
||||
smt_m smt multiclock
|
||||
|
||||
[options]
|
||||
mode bmc
|
||||
|
||||
multiclock: multiclock on
|
||||
|
||||
[engines]
|
||||
#smtbmc
|
||||
btor: btor btormc
|
||||
smt: smtbmc boolector
|
||||
|
||||
[script]
|
||||
read_verilog -formal const_clocks.sv
|
||||
prep -flatten -top top
|
||||
|
||||
[file const_clocks.sv]
|
||||
module top(
|
||||
input clk,
|
||||
input [7:0] d
|
||||
);
|
||||
|
||||
(* keep *)
|
||||
wire [7:0] some_const = $anyconst;
|
||||
|
||||
wire [7:0] q;
|
||||
|
||||
ff ff1(.clk(1'b0), .d(d), .q(q));
|
||||
|
||||
initial assume (some_const == q);
|
||||
initial assume (q != 0);
|
||||
|
||||
|
||||
always @(posedge clk) assert(some_const == q);
|
||||
endmodule
|
||||
|
||||
module ff(input clk, input [7:0] d, (* keep *) output reg [7:0] q);
|
||||
always @(posedge clk) q <= d;
|
||||
endmodule
|
Loading…
Reference in a new issue