3
0
Fork 0
mirror of https://github.com/YosysHQ/sby.git synced 2025-04-06 22:34:07 +00:00

Update README.md

This commit is contained in:
Claire Xen 2021-12-17 15:50:57 +01:00 committed by GitHub
parent 7f2c4189dc
commit f7f5135508
No known key found for this signature in database
GPG key ID: 4AEE18F83AFDEB23

View file

@ -17,9 +17,12 @@ the following technique for proving and using inductive invariants.
Consider the following circuit (stripped-down [example.sv](example.sv)):
```SystemVerilog
module example(input logic clk, output reg [4:0] state);
initial state = 27;
module example(clk, state);
input logic clk;
output logic [4:0] state = 27;
always_ff @(posedge clk) state <= (5'd 2 * state - 5'd 1) ^ (state & 5'd 7);
always_comb assert (state != 0);
endmodule
```