3
0
Fork 0
mirror of https://github.com/YosysHQ/sby.git synced 2025-04-06 22:34:07 +00:00

Adjusting assumptions

This commit is contained in:
KrystalDelusion 2022-04-27 09:36:44 +12:00
parent ec02e25f5c
commit e106d5c161

View file

@ -128,9 +128,9 @@ module fifo (
// assumptions
always @(posedge clk or negedge rst_n) begin
// when writing the write data will change (so that we can line up reads with writes)
// data will change when writing (and only when writing) so we can line up reads with writes
assume property (wen |=> wdata != $past(wdata));
assume (wdata);
assume property (!wen |=> wdata == $past(wdata));
end
`endif