3
0
Fork 0
mirror of https://github.com/YosysHQ/sby.git synced 2025-04-26 14:55:33 +00:00

Update examples

Signed-off-by: Clifford Wolf <clifford@clifford.at>
This commit is contained in:
Clifford Wolf 2018-06-29 19:32:03 +02:00
parent 2fa29974dd
commit c5e5f5dcbb
9 changed files with 51 additions and 46 deletions

View file

@ -21,8 +21,8 @@ aiger suprove
--
[script]
read_verilog -formal fib.v
read -formal fib.sv
prep -top fib
[files]
fib.v
fib.sv

View file

@ -54,10 +54,10 @@ module fib (
cover ($past(n) == 15);
end
assume (s_eventually !pause);
assume property (s_eventually !pause);
if (start && !pause)
assert (s_eventually done);
assert property (s_eventually done);
end
`endif
endmodule