3
0
Fork 0
mirror of https://github.com/YosysHQ/sby.git synced 2025-08-05 12:50:27 +00:00

Merge branch 'master' into fifo_example

Abbreviates additional btor instructions.
This commit is contained in:
KrystalDelusion 2022-06-07 12:00:10 +12:00
commit a808a0738c
3 changed files with 50 additions and 2 deletions

View file

@ -47,9 +47,9 @@ module top (
(* gclk *) reg gclk;
always @(posedge gclk) begin
if (!init) begin
assume ($stable(rc) || $stable(wc));
if (!init) begin
if ($rose(rc) && shadow_valid && shadow_addr == $past(ra)) begin
assert (shadow_data == rd);
end

View file

@ -117,3 +117,6 @@ Boolector
http://fmv.jku.at/boolector/
https://github.com/boolector/boolector
To use the ``btor`` engine you additionally need a newer version of btorsim
than the boolector setup script builds: https://github.com/boolector/btor2tools

View file

@ -0,0 +1,45 @@
[options]
mode bmc
depth 1
[engines]
smtbmc
[script]
read_verilog -icells -formal test.v
prep -top top
[file test.v]
module top;
wire [7:0] a = $anyconst, b = $anyconst, fdiv, fmod, a2;
assign a2 = b * fdiv + fmod;
\$divfloor #(
.A_WIDTH(8),
.B_WIDTH(8),
.A_SIGNED(1),
.B_SIGNED(1),
.Y_WIDTH(8),
) fdiv_m (
.A(a),
.B(b),
.Y(fdiv)
);
\$modfloor #(
.A_WIDTH(8),
.B_WIDTH(8),
.A_SIGNED(1),
.B_SIGNED(1),
.Y_WIDTH(8),
) fmod_m (
.A(a),
.B(b),
.Y(fmod)
);
always @* begin
assume(b != 0);
assert(a == a2);
end
endmodule