mirror of
https://github.com/YosysHQ/sby.git
synced 2026-01-13 07:06:18 +00:00
Remove req assertion; make assertion richer
This commit is contained in:
parent
a41fdb6784
commit
0b88cdac90
1 changed files with 6 additions and 2 deletions
|
|
@ -61,9 +61,13 @@ module DUT (
|
|||
phase2a_cover_ack: cover(ack);
|
||||
end
|
||||
|
||||
// Assert the second ack arrives within a bounded window after the second
|
||||
// request, and also that ack count never exceeds the expected two.
|
||||
phase2b_assert_ack_reaches_two: assert property (@(posedge clk)
|
||||
$rose(reqs_seen == 2) |-> ##[1:8] acks_seen == 2
|
||||
);
|
||||
always @(posedge clk) begin
|
||||
phase2b_assert_req_count: assert(reqs_seen <= 2);
|
||||
phase2b_assert_ack_count: assert(acks_seen <= 2);
|
||||
phase2b_assert_ack_stable: assert(acks_seen <= 2);
|
||||
end
|
||||
|
||||
|
||||
|
|
|
|||
Loading…
Add table
Add a link
Reference in a new issue