3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-09-05 17:47:44 +00:00
yosys/tests/memories/wide_read_sync.v
Marcelina Kościelnicka 1f74ec3535 memory_share: Add -nosat and -nowiden options.
This unlocks wide port recognition by default.
2021-08-14 00:09:04 +02:00

32 lines
480 B
Verilog

// expect-wr-ports 1
// expect-rd-ports 4
// expect-rd-wide-continuation 4'1110
module test(
input clk,
input re,
input we,
input [5:0] ra,
input [7:0] wa,
input [7:0] wd,
output reg [31:0] rd
);
reg [7:0] mem[0:255];
always @(posedge clk) begin
if (re) begin
rd[7:0] <= mem[{ra, 2'b00}];
rd[15:8] <= mem[{ra, 2'b01}];
rd[23:16] <= mem[{ra, 2'b10}];
rd[31:24] <= mem[{ra, 2'b11}];
end
end
always @(posedge clk) begin
if (we)
mem[wa] <= wd;
end
endmodule