mirror of
https://github.com/YosysHQ/yosys
synced 2026-01-06 19:12:46 +00:00
12 lines
489 B
Systemverilog
12 lines
489 B
Systemverilog
module top (input clk, reset, antecedent, output reg consequent);
|
|
always @(posedge clk)
|
|
consequent <= reset ? 0 : antecedent;
|
|
|
|
`ifdef FAIL
|
|
test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |-> consequent )
|
|
else $error("Failed with consequent = ", $sampled(consequent));
|
|
`else
|
|
test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |=> consequent )
|
|
else $error("Failed with consequent = ", $sampled(consequent));
|
|
`endif
|
|
endmodule
|