3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-05-16 20:24:46 +00:00
yosys/tests/verilog/param_no_default_unbound_3.ys
Zachary Snow d738b2c127 sv: support for parameters without default values
- Modules with a parameter without a default value will be automatically
  deferred until the hierarchy pass
- Allows for parameters without defaults as module items, rather than
  just int the `parameter_port_list`, despite being forbidden in the LRM
- Check for parameters without defaults that haven't been overriden
- Add location info to parameter/localparam declarations
2021-03-02 10:43:53 -05:00

12 lines
232 B
Text

read_verilog -sv <<EOF
module Example #(
parameter X, Y
);
endmodule
module top;
Example #(1) e();
endmodule
EOF
logger -expect error "Parameter `\\Y' has no default value and has not been overridden!" 1
hierarchy -top top