3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-08-24 20:16:01 +00:00
yosys/tests/sva/sva_value_change_changed.sv

19 lines
220 B
Systemverilog

module top (
input clk,
input a, b
);
default clocking @(posedge clk); endclocking
assert property (
$changed(b)
);
wire x = 'x;
`ifndef FAIL
assume property (
b !== x ##1 $changed(b)
);
`endif
endmodule