mirror of
https://github.com/YosysHQ/yosys
synced 2025-11-29 00:39:52 +00:00
19 lines
259 B
Systemverilog
19 lines
259 B
Systemverilog
module top (
|
|
input clk,
|
|
input a, b, c, d
|
|
);
|
|
default clocking @(posedge clk); endclocking
|
|
|
|
assert property (
|
|
a |=> b throughout (c ##1 d)
|
|
);
|
|
|
|
`ifndef FAIL
|
|
assume property (
|
|
a |=> b && c
|
|
);
|
|
assume property (
|
|
b && c |=> b && d
|
|
);
|
|
`endif
|
|
endmodule
|