mirror of
https://github.com/YosysHQ/yosys
synced 2025-10-21 23:00:34 +00:00
58 lines
571 B
Verilog
Executable file
58 lines
571 B
Verilog
Executable file
`timescale 1ns/1ns
|
|
module tb_adffe();
|
|
reg clk = 0;
|
|
reg rst = 0;
|
|
reg d = 0;
|
|
reg en = 0;
|
|
wire q;
|
|
|
|
adffe uut(.clk(clk),.d(d),.rst(rst),.en(en),.q(q));
|
|
|
|
always
|
|
#(5) clk <= !clk;
|
|
|
|
initial
|
|
begin
|
|
$dumpfile("tb_adffe");
|
|
$dumpvars(0,tb_adffe);
|
|
#10
|
|
d = 1;
|
|
#10
|
|
d = 0;
|
|
#10
|
|
rst = 1;
|
|
#10
|
|
d = 1;
|
|
#10
|
|
d = 0;
|
|
#10
|
|
rst = 0;
|
|
#10
|
|
d = 1;
|
|
#10
|
|
d = 0;
|
|
#10
|
|
en = 1;
|
|
rst = 1;
|
|
#10
|
|
d = 1;
|
|
#10
|
|
d = 0;
|
|
#10
|
|
d = 1;
|
|
#10
|
|
d = 0;
|
|
#10
|
|
rst = 0;
|
|
#10
|
|
d = 1;
|
|
#10
|
|
d = 0;
|
|
#10
|
|
d = 1;
|
|
#10
|
|
d = 0;
|
|
#10
|
|
$finish;
|
|
end
|
|
endmodule
|