mirror of
https://github.com/YosysHQ/yosys
synced 2026-01-20 17:14:44 +00:00
19 lines
256 B
Systemverilog
19 lines
256 B
Systemverilog
module top (
|
|
input clk,
|
|
input a, b, c, d
|
|
);
|
|
default clocking @(posedge clk); endclocking
|
|
|
|
assert property (
|
|
a ##[*] b |=> c until d
|
|
);
|
|
|
|
`ifndef FAIL
|
|
assume property (
|
|
b |=> ##5 d
|
|
);
|
|
assume property (
|
|
b || (c && !d) |=> c
|
|
);
|
|
`endif
|
|
endmodule
|