mirror of
https://github.com/YosysHQ/yosys
synced 2025-11-27 15:59:51 +00:00
138 lines
5.1 KiB
Text
138 lines
5.1 KiB
Text
# ================================ RAM ================================
|
|
# RAM bits <= 4K; Data width <= 16; Address width <= 11: -> SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 11 -set DATA_WIDTH 2 sync_ram_sdp
|
|
hierarchy -top sync_ram_sdp
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 10 -set DATA_WIDTH 4 sync_ram_sdp
|
|
hierarchy -top sync_ram_sdp
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 9 -set DATA_WIDTH 8 sync_ram_sdp
|
|
hierarchy -top sync_ram_sdp
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 8 -set DATA_WIDTH 16 sync_ram_sdp
|
|
hierarchy -top sync_ram_sdp
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
## With parameters
|
|
|
|
design -reset; read_verilog -defer ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
hierarchy -top sync_ram_sdp
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 0 t:SB_RAM40_4K # too inefficient
|
|
select -assert-min 1 t:SB_DFFE
|
|
|
|
design -reset; read_verilog -defer ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
hierarchy -top sync_ram_sdp
|
|
setattr -set syn_ramstyle "block_ram" m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
hierarchy -top sync_ram_sdp
|
|
setattr -set syn_ramstyle "Block_RAM" m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K # any case works
|
|
|
|
design -reset; read_verilog -defer ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
hierarchy -top sync_ram_sdp
|
|
setattr -set ram_block 1 m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
hierarchy -top sync_ram_sdp
|
|
setattr -set syn_ramstyle "registers" m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 0 t:SB_RAM40_4K # requested FFRAM explicitly
|
|
select -assert-min 1 t:SB_DFFE
|
|
|
|
design -reset; read_verilog -defer ../common/blockram.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_ram_sdp
|
|
hierarchy -top sync_ram_sdp
|
|
setattr -set logic_block 1 m:memory
|
|
synth_ice40 -top sync_ram_sdp; cd sync_ram_sdp
|
|
select -assert-count 0 t:SB_RAM40_4K # requested FFRAM explicitly
|
|
select -assert-min 1 t:SB_DFFE
|
|
|
|
# ================================ ROM ================================
|
|
# ROM bits <= 4K; Data width <= 16; Address width <= 11: -> SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 11 -set DATA_WIDTH 2 sync_rom
|
|
hierarchy -top sync_rom
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 10 -set DATA_WIDTH 4 sync_rom
|
|
hierarchy -top sync_rom
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 9 -set DATA_WIDTH 8 sync_rom
|
|
hierarchy -top sync_rom
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 8 -set DATA_WIDTH 16 sync_rom
|
|
hierarchy -top sync_rom
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
## With parameters
|
|
|
|
design -reset; read_verilog -defer ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
hierarchy -top sync_rom
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 0 t:SB_RAM40_4K # too inefficient
|
|
select -assert-min 1 t:SB_LUT4
|
|
|
|
design -reset; read_verilog -defer ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
hierarchy -top sync_rom
|
|
setattr -set syn_romstyle "ebr" m:memory
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
hierarchy -top sync_rom
|
|
setattr -set rom_block 1 m:memory
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 1 t:SB_RAM40_4K
|
|
|
|
design -reset; read_verilog -defer ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
hierarchy -top sync_rom
|
|
setattr -set syn_romstyle "logic" m:memory
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 0 t:SB_RAM40_4K # requested LUTROM explicitly
|
|
select -assert-min 1 t:SB_LUT4
|
|
|
|
design -reset; read_verilog -defer ../common/blockrom.v
|
|
chparam -set ADDRESS_WIDTH 2 -set DATA_WIDTH 8 sync_rom
|
|
hierarchy -top sync_rom
|
|
setattr -set logic_block 1 m:memory
|
|
synth_ice40 -top sync_rom; cd sync_rom
|
|
select -assert-count 0 t:SB_RAM40_4K # requested LUTROM explicitly
|
|
select -assert-min 1 t:SB_LUT4
|