mirror of
https://github.com/YosysHQ/yosys
synced 2025-10-06 16:01:57 +00:00
* xilinx: add SCC test for DSP48E1 * xilinx: Gate DSP48E1 being a whitebox behind ALLOW_WHITEBOX_DSP48E1 Have a test that checks it works through ABC9 when enabled * abc9 to break SCCs using $__ABC9_SCC_BREAKER module * Add test * abc9_ops: remove refs to (* abc9_keep *) on wires * abc9_ops: do not bypass cells in an SCC * Add myself to CODEOWNERS for abc9* * Fix compile * abc9_ops: run -prep_hier before scc * Fix tests * Remove bug reference pending fix * abc9: fix for -prep_hier -dff * xaiger: restore PI handling * abc9_ops: -prep_xaiger sigmap * abc9_ops: -mark_scc -> -break_scc * abc9: eliminate hard-coded abc9.box from tests Also tidy up * Address review
16 lines
638 B
Verilog
16 lines
638 B
Verilog
(* techmap_celltype = "$__DFF_N__$abc9_flop $__DFF_P__$abc9_flop" *)
|
|
module $__DFF_x__$abc9_flop (input C, D, (* init = 1'b0 *) input Q, output n1);
|
|
parameter _TECHMAP_CELLTYPE_ = "";
|
|
generate if (_TECHMAP_CELLTYPE_ == "$__DFF_N__$abc9_flop")
|
|
$_DFF_N_ _TECHMAP_REPLACE_ (.C(C), .D(D), .Q(Q));
|
|
else if (_TECHMAP_CELLTYPE_ == "$__DFF_P__$abc9_flop")
|
|
$_DFF_P_ _TECHMAP_REPLACE_ (.C(C), .D(D), .Q(Q));
|
|
else if (_TECHMAP_CELLTYPE_ != "")
|
|
$error("Unrecognised _TECHMAP_CELLTYPE_");
|
|
endgenerate
|
|
endmodule
|
|
|
|
module $__ABC9_SCC_BREAKER (input [WIDTH-1:0] I, output [WIDTH-1:0] O);
|
|
parameter WIDTH = 0;
|
|
assign O = I;
|
|
endmodule
|