mirror of
https://github.com/YosysHQ/yosys
synced 2025-12-15 00:38:59 +00:00
15 lines
319 B
Verilog
15 lines
319 B
Verilog
module loop_var_shadow_top(out);
|
|
genvar i;
|
|
generate
|
|
for (i = 0; i < 2; i = i + 1) begin : loop
|
|
localparam j = i + 1;
|
|
if (1) begin : blk
|
|
localparam i = j + 1;
|
|
wire [i:0] x;
|
|
assign x = 1'sb1;
|
|
end
|
|
end
|
|
endgenerate
|
|
output wire [63:0] out;
|
|
assign out = {loop[0].blk.x, loop[1].blk.x};
|
|
endmodule
|