mirror of
https://github.com/YosysHQ/yosys
synced 2025-04-05 17:14:08 +00:00
13 lines
237 B
Plaintext
13 lines
237 B
Plaintext
read_verilog <<EOT
|
|
|
|
module top;
|
|
localparam a = $sformatf("0x%x", 8'h5A);
|
|
localparam b = $sformatf("%d", 4'b011);
|
|
generate
|
|
if (a != "0x5a") $error("a incorrect!");
|
|
if (b != " 3") $error("b incorrect!");
|
|
endgenerate
|
|
endmodule
|
|
|
|
EOT
|