mirror of
https://github.com/YosysHQ/yosys
synced 2026-01-23 10:34:00 +00:00
13 lines
241 B
Text
13 lines
241 B
Text
read_verilog -icells <<EOF
|
|
module top(input wire [2:0] a, output wire [2:0] y);
|
|
\$buf #(.WIDTH(3)) b(.A(a), .Y(y));
|
|
endmodule
|
|
EOF
|
|
design -save save
|
|
|
|
opt_clean
|
|
select -assert-none t:$buf
|
|
|
|
design -load save
|
|
techmap
|
|
select -assert-none t:$buf
|