3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-10-20 14:20:32 +00:00
yosys/tests/verific/import_warning_operator.vhd
N. Engelhardt 4513783a02 add tests
2025-10-14 15:48:16 +02:00

15 lines
303 B
VHDL

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity top is
Port (
a : in STD_LOGIC_VECTOR(3 downto 0);
b : in STD_LOGIC_VECTOR(3 downto 0);
y : out STD_LOGIC_VECTOR(3 downto 0)
);
end top;
architecture Behavioral of top is
begin
y <= a nor b;
end Behavioral;