3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-04-13 20:38:44 +00:00
yosys/tests/simple/const_branch_finish.v
Claire Xenia Wolf 15fb0107dc Fix "make vgtest" so it runs to the end (but now it fails ;)
Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2021-09-23 14:54:28 +02:00

37 lines
705 B
Verilog

`define CONSTANT_CHECK \
if (WIDTH === 'bx) begin \
$display("FAIL"); \
$finish; \
end
module case_branch_finish_top;
parameter WIDTH = 32;
integer j;
initial begin
`CONSTANT_CHECK
if (WIDTH == 32) begin : procedural_conditional_block
`CONSTANT_CHECK
end
case (WIDTH)
32: `CONSTANT_CHECK
default: ;
endcase
for (j = 0; j < 2; j = j + 1) begin : procedural_loop_block
`CONSTANT_CHECK
end
end
generate
if (WIDTH == 32) begin : conditional_block
initial `CONSTANT_CHECK
end
case (WIDTH)
32: initial `CONSTANT_CHECK
default: ;
endcase
genvar i;
for (i = 0; i < 2; i = i + 1) begin : loop_block
initial `CONSTANT_CHECK
end
endgenerate
endmodule