3
0
Fork 0
mirror of https://github.com/YosysHQ/yosys synced 2025-10-02 05:59:31 +00:00
yosys/tests/sim/tb/tb_adff.v
Miodrag Milanovic 271ac28b41 Added test cases
2022-02-16 13:27:59 +01:00

40 lines
412 B
Verilog
Executable file

`timescale 1ns/1ns
module tb_adff();
reg clk = 0;
reg rst = 0;
reg d = 0;
wire q;
adff uut(.clk(clk),.d(d),.rst(rst),.q(q));
always
#(5) clk <= !clk;
initial
begin
$dumpfile("tb_adff");
$dumpvars(0,tb_adff);
#10
d = 1;
#10
d = 0;
#10
rst = 1;
#10
d = 1;
#10
d = 0;
#10
rst = 0;
#10
d = 1;
#10
d = 0;
#10
d = 1;
#10
d = 0;
#10
$finish;
end
endmodule