mirror of
https://github.com/YosysHQ/yosys
synced 2025-06-19 12:23:39 +00:00
Right now neither `sat` nor `sim` have support for the `$check` cell. For formal verification it is a good idea to always run either async2sync or clk2fflogic which will (in a future commit) lower `$check` to `$assert`, etc. While `sim` should eventually support `$check` directly, using `async2sync` is ok for the current tests that use `sim`, so this commit also runs `async2sync` before running sim on designs containing assertions.
51 lines
920 B
Text
51 lines
920 B
Text
read_verilog -sv << EOF
|
|
package p;
|
|
typedef struct packed {
|
|
logic a;
|
|
logic b;
|
|
} struct_t;
|
|
|
|
typedef struct packed {
|
|
struct_t g;
|
|
logic [2:0] h;
|
|
} nested_struct_t;
|
|
|
|
typedef union packed {
|
|
logic [4:0] x;
|
|
} nested_union_t;
|
|
|
|
parameter struct_t c = {1'b1, 1'b0};
|
|
parameter nested_struct_t x = {{1'b1, 1'b0}, 1'b1, 1'b1, 1'b1};
|
|
endpackage
|
|
|
|
module dut ();
|
|
parameter p::struct_t d = p::c;
|
|
parameter p::nested_struct_t i = p::x;
|
|
|
|
parameter p::nested_union_t u = {5'b11001};
|
|
|
|
localparam e = d.a;
|
|
localparam f = d.b;
|
|
|
|
localparam j = i.g.a;
|
|
localparam k = i.g.b;
|
|
localparam l = i.h;
|
|
localparam m = i.g;
|
|
|
|
localparam o = u.x;
|
|
|
|
always_comb begin
|
|
assert(d == 2'b10);
|
|
assert(e == 1'b1);
|
|
assert(f == 1'b0);
|
|
assert(j == 1'b1);
|
|
assert(k == 1'b0);
|
|
assert(l == 3'b111);
|
|
assert(m == 2'b10);
|
|
assert(u == 5'b11001);
|
|
end
|
|
endmodule
|
|
EOF
|
|
hierarchy; proc; opt
|
|
async2sync
|
|
sat -verify -seq 1 -tempinduct -prove-asserts -show-all
|